EDA技术的概念第13章.ppt
《EDA技术的概念第13章.ppt》由会员分享,可在线阅读,更多相关《EDA技术的概念第13章.ppt(40页珍藏版)》请在三一办公上搜索。
1、EDA 技术实用教程,第 13 章 电子系统设计实践,13.1 VGA彩条信号显示控制器设计,KX康芯科技,VGA工业标准要求的频率:时钟频率(Clock frequency):25.175 MHz(像素输出的频率)行频(Line frequency):31469 Hz 场频(Field frequency):59.94 Hz(每秒图像刷新频率),13.1 VGA彩条信号显示控制器设计,KX康芯科技,图13-1 VGA行扫描、场扫描时序示意图,13.1 VGA彩条信号显示控制器设计,KX康芯科技,表13-1 行扫描时序要求:(单位:像素,即输出一个像素Pixel的时间间隔),表13-1 行扫描
2、时序要求:(单位:像素,即输出一个像素Pixel的时间间隔),13.1 VGA彩条信号显示控制器设计,KX康芯科技,图13-2 HS和VS的时序图,13.1 VGA彩条信号显示控制器设计,KX康芯科技,图13-3 例13-7实现电路,13.1 VGA彩条信号显示控制器设计,KX康芯科技,表13-3 颜色编码:,表13-4彩条信号发生器3种显示模式,13.1 VGA彩条信号显示控制器设计,KX康芯科技,【例13-1】LIBRARY IEEE;-VGA显示器 彩条 发生器USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTI
3、TY COLOR IS PORT(CLK,MD:IN STD_LOGIC;HS,VS,R,G,B:OUT STD_LOGIC);-行场同步/红,绿,兰END COLOR;ARCHITECTURE behav OF COLOR IS SIGNAL HS1,VS1,FCLK,CCLK:STD_LOGIC;SIGNAL MMD:STD_LOGIC_VECTOR(1 DOWNTO 0);-方式选择 SIGNAL FS:STD_LOGIC_VECTOR(3 DOWNTO 0);SIGNAL CC:STD_LOGIC_VECTOR(4 DOWNTO 0);-行同步/横彩条生成 SIGNAL LL:STD_
4、LOGIC_VECTOR(8 DOWNTO 0);-场同步/竖彩条生成 SIGNAL GRBX:STD_LOGIC_VECTOR(3 DOWNTO 1);-X横彩条 SIGNAL GRBY:STD_LOGIC_VECTOR(3 DOWNTO 1);-Y竖彩条 SIGNAL GRBP:STD_LOGIC_VECTOR(3 DOWNTO 1);SIGNAL GRB:STD_LOGIC_VECTOR(3 DOWNTO 1);BEGIN(接下页),KX康芯科技,GRB(2)=(GRBP(2)XOR MD)AND HS1 AND VS1;GRB(3)=(GRBP(3)XOR MD)AND HS1 AND
5、 VS1;GRB(1)=(GRBP(1)XOR MD)AND HS1 AND VS1;PROCESS(MD)BEGIN IF MDEVENT AND MD=0 THEN IF MMD=10 THEN MMD=00;ELSE MMD=MMD+1;END IF;-三种模式 END IF;END PROCESS;PROCESS(MMD)BEGIN IF MMD=00 THEN GRBP=GRBX;-选择横彩条 ELSIF MMD=01 THEN GRBP=GRBY;-选择竖彩条 ELSIF MMD=10 THEN GRBP=GRBX XOR GRBY;-产生棋盘格 ELSE GRBP=000;END
6、 IF;END PROCESS;PROCESS(CLK)BEGIN IF CLKEVENT AND CLK=1 THEN-13MHz 13分频 IF FS=13 THEN FS=0000;ELSE FS=(FS+1);END IF;END IF;END PROCESS;FCLK=FS(3);CCLK=CC(4);PROCESS(FCLK)BEGIN(接下页),KX康芯科技,IF FCLKEVENT AND FCLK=1 THEN IF CC=29 THEN CC 23 THEN HS1 479 THEN VS1=0;-场同步 ELSE VS1=1;END IF;END PROCESS;PROC
7、ESS(CC,LL)BEGIN IF CC 3 THEN GRBX=111;-横彩条 ELSIF CC 6 THEN GRBX=110;ELSIF CC 9 THEN GRBX=101;ELSIF CC 13 THEN GRBX=100;ELSIF CC 15 THEN GRBX=011;(接下页),KX康芯科技,ELSIF CC 18 THEN GRBX=010;ELSIF CC 21 THEN GRBX=001;ELSE GRBX=000;END IF;IF LL 60 THEN GRBY=111;-竖彩条 ELSIF LL 130 THEN GRBY=110;ELSIF LL 180 T
8、HEN GRBY=101;ELSIF LL 240 THEN GRBY=100;ELSIF LL 300 THEN GRBY=011;ELSIF LL 360 THEN GRBY=010;ELSIF LL 420 THEN GRBY=001;ELSE GRBY=000;END IF;END PROCESS;HS=HS1;VS=VS1;R=GRB(2);G=GRB(3);B=GRB(1);END behav;,13.2 VGA图象显示控制器设计,KX康芯科技,图13-4 VGA图像控制器框图,KX康芯科技,【例13-2】LIBRARY ieee;-图象显示顶层程序USE ieee.std_log
9、ic_1164.all;ENTITY img IS port(clk50MHz:IN STD_LOGIC;hs,vs,r,g,b:OUT STD_LOGIC);END img;ARCHITECTURE modelstru OF img IS component vga640480-VGA显示控制模块PORT(clk:IN STD_LOGIC;rgbin:IN STD_LOGIC_VECTOR(2 downto 0);hs,vs,r,g,b:OUT STD_LOGIC;hcntout,vcntout:OUT STD_LOGIC_VECTOR(9 downto 0);end component;c
10、omponent imgrom-图象数据ROM,数据线3位;地址线13位PORT(inclock:IN STD_LOGIC;address:IN STD_LOGIC_VECTOR(11 downto 0);q:OUT STD_LOGIC_VECTOR(2 downto 0);end component;signalrgb:STD_LOGIC_VECTOR(2 downto 0);signalclk25MHz:std_logic;signalromaddr:STD_LOGIC_VECTOR(11 downto 0);signalhpos,vpos:std_logic_vector(9 downt
11、o 0);BEGIN romaddr clk25MHz,rgbin=rgb,hs=hs,vs=vs,r=r,g=g,b=b,hcntout=hpos,vcntout=vpos);i_rom:imgrom PORT MAP(inclock=clk25MHz,address=romaddr,q=rgb);END;,KX康芯科技,【例13-3】LIBRARY IEEEuse IEEE.std_logic_1164.all;useIEEE.STD_LOGIC_UNSIGNED.ALL;entity vga640480 isport(clk:in STD_LOGIC;hs,vs,r,g,b:out ST
12、D_LOGIC;rgbin:in std_logic_vector(2 downto 0);hcntout,vcntout:out std_logic_vector(9 downto 0);end vga640480;architecture ONE of vga640480 issignal hcnt,vcnt:std_logic_vector(9 downto 0);beginhcntout 0);end if;end if;end process;process(clk)beginif(rising_edge(clk)thenif(hcnt=640+8)thenif(vcnt 0);en
13、d if;(接下页),KX康芯科技,end if;end if;end process;process(clk)beginif(rising_edge(clk)thenif(hcnt=640+8+8)and(hcnt=480+8+2)and(vcnt480+8+2+2)then vs=0;else vs=1;end if;end process;process(clk)beginif(rising_edge(clk)thenif(hcnt640 and vcnt480)thenr=rgbin(2);g=rgbin(1);b=rgbin(0);else r=0;g=0;b=0;end if;en
14、d if;end process;end ONE;,13.3 步进电机细分驱动控制,KX康芯科技,1、步进电机细分驱动原理,2、步距细分的系统构成,图13-5 四相步进电机8细分电流波形,13.3 步进电机细分驱动控制,KX康芯科技,2、步距细分的系统构成,图13-6 步进电机细分驱动电路结构图,13.3 步进电机细分驱动控制,KX康芯科技,2、步距细分的系统构成,图13-7 步进电机PWM细分控制控制电路图,13.3 步进电机细分驱动控制,KX康芯科技,2、步距细分的系统构成,图13-8 图13-7中的cmp3模块,13.3 步进电机细分驱动控制,KX康芯科技,2、步距细分的系统构成,图13
15、-9 PWM波形ROM存储器,13.3 步进电机细分驱动控制,KX康芯科技,3、细分电流信号的实现,4、细分驱动性能的改善,5、细工作时序分析,13.3 步进电机细分驱动控制,KX康芯科技,图13-10 步进电机PWM仿真波形图(注意,图中clk与clk5交换),13.3 步进电机细分驱动控制,KX康芯科技,图13-11 展开后的步进电机PWM仿真波形图(注意,图中clk与clk5交换),13.3 步进电机细分驱动控制,KX康芯科技,6、硬件验证,【例13-4】-元件CNT8LIBRARY IEEE;-8进制计数器USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD
16、_LOGIC_UNSIGNED.ALL;ENTITY CNT8 IS PORT(CLK:IN STD_LOGIC;CQ:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);END CNT8;ARCHITECTURE behav OF CNT8 IS SIGNAL CQI:STD_LOGIC_VECTOR(4 DOWNTO 0);BEGIN PROCESS(CLK)BEGIN IF CLKEVENT AND CLK=1 THEN CQI=CQI+1;END IF;END PROCESS;CQ=CQI(4 DOWNTO 1);END behav;,13.3 步进电机细分驱动控制,KX
- 配套讲稿:
如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。
- 特殊限制:
部分文档作品中含有的国旗、国徽等图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。
- 关 键 词:
- EDA 技术 概念 13
链接地址:https://www.31ppt.com/p-6504911.html