组合逻辑电路新.ppt
《组合逻辑电路新.ppt》由会员分享,可在线阅读,更多相关《组合逻辑电路新.ppt(125页珍藏版)》请在三一办公上搜索。
1、第 6 章组合逻辑电路,组合逻辑电路及特点,组合逻辑电路中的竞争冒险,MSI构成的组合逻辑电路的分析与设计,常用组合逻辑电路,组合逻辑电路的分析和设计方法,本章小结,主要内容,本章目标,本章目标,了解全加器、译码器、编码器、数据选择器的vhdl描述;掌握组合逻辑电路的分析与设计方法;掌握常用中规模组合逻辑器件的基本结构及扩展应用;掌握基于QuartusII的图形输入法设计仿真组合逻辑电路。,关键术语:,SSI组合逻辑电路,MSI组合逻辑电路,6.1特点与功能描述,组合逻辑电路,电路在任一时刻的输出状态仅取决于该时刻输入信号的状态,而与电路原有状态无关,一个封装内部的逻辑门个数小于12个的集成电
2、路,一个封装内部有12100个等效逻辑门的集成电路。,1.组合逻辑电路示意图,2.组合逻辑电路的特点与描述方法,组合逻辑电路的描述方法:,逻辑表达式、真值表、卡诺图和逻辑图,还可以用硬件描述语言VHDL和Verilog 来描述。,主要要求:,掌握组合逻辑电路分析与设计的基本方法。,熟练掌握逻辑表达式、真值表、卡诺图和逻辑图表示法。,SSI构成的组合逻辑电路 的分析与设计,6.2,6.2.1 组合逻辑电路的基本分析方法,分析思路:,基本步骤:,根据给定逻辑电路,找出输出输入间的逻辑关系,从而确定电路的逻辑功能。,例6-1 分析下图所示电路的逻辑功能。,解:,(1)写出输出逻辑函数式,(3)分析逻
3、辑功能,根据同或功能可列出真值表如上表;也可先求标准与或式,然后得真值表。后者是分析电路的常用方法,下面介绍之。,通过分析真值表特点来说明功能。,A、B 两个输入变量的状态相同时,输出为 1,否则输出为 0。因此,图示电路为同或电路,实现了两个变量的同或逻辑功能。,0,1,1,初学者一般从输入向输出逐级写出各个门的输出逻辑式。熟练后可从输出向输入直接推出整个电路的输出逻辑式。,由 Si 表达式可知,当输入有奇数个 1 时,Si=1,否则 Si=0。,例 分析下图电路的逻辑功能。,解:,(2)列真值表,(1)写出输出逻辑函数式,由 Ci-1 表达式可画出其卡诺图为:,可列出真值表为,(3)分析逻
4、辑功能,将两个一位二进制数 Ai、Bi 与低位来的进位 Ci-1 相加,Si 为本位和,Ci 为向高位产生的进位。这种功能的电路称为全加器。,6.2.2 SSI构成的组合逻辑电路设计,基本步骤:,分析设计要求并列出真值表求最简输出逻辑式画逻辑图。,首先分析给定问题,弄清楚输入变量和输出变量是哪些,并规定它们的符号与逻辑取值(即规定它们何时取值 0,何时取值1)。然后分析输出变量和输入变量间的逻辑关系,列出真值表。,根据真值表用代数法或卡诺图法求最简与或式,然后根据题中对门电路类型的要求,将最简与或式变换为与门类型对应的最简式。,根据简化或变换后的逻辑函数表达式画出逻辑电路图。,下面通过例题学习
5、如何设计组合逻辑电路,(一)单输出组合逻辑电路设计举例,例 设计一个A、B、C三人表决电路。当表决某个提案时,多数人同意,则提案通过,但A具有否决权。用与非门实现。,解:,(1)分析设计要求,列出真值表,设 A、B、C 同意提案时取值为 1,不同意时取值为 0;Y 表示表决结果,提案通过则取值为 1,否则取值为 0。可得真值表如右。,(2)化简输出函数,Y=AC+AB,用与非门实现,,并求最简与非式,(3)根据输出逻辑式画逻辑图,(二)多输出组合逻辑电路设计举例,【例6-3】某大楼电梯系统设有3部电梯,为了监测电梯运行情况,需要设计一个电梯运行情况监测电路,规定只要有2部以上电梯运行,则监测电
6、路输出电梯系统正常工作信号,否则输出电梯系统故障信号。试用与非门和或非门分别设计该电梯系统运行情况监测电路。解:(1)根据题意,输入变量用A,B.C分别表示3部电梯的运行状态,输出变量用F表示监测电路输出信号状态。输入变量用逻辑1表示电梯正在运行,用逻辑0表示电梯停止运行;输出变量用逻辑1表示系统运行正常,用逻辑0表示系统运行故障。由此可列出如下所示真值表。,(4)画逻辑图,(2)根据真值表写出输出逻辑函数表达式,(3)用卡诺图进行化简。可得简化的逻辑表达式,实现【例6-3】设计的电路可有多个不同的方案。下面介绍两种常用的方案。方案一:用与非门实现将简化后表达式变换为与非-与非表达式,方案二:
7、用或非门实现由卡诺图圈0,化简出最简或与式,进而变换为或非-或非表达式,【例6-4】试分别用逻辑门和VHDL语言设计一个8421码转换成5421码的码组变换电路。用逻辑门设计 解:(1)根据题意列出真值表,(2)用卡诺图化筒,由卡诺图化简(注意无关项的使用)可得如下逻辑函数表达式:,(3)根据逻辑函数表达式画出逻辑图,2用VHDL实现 利用上面已推出的逻辑函数表达式,结构体采用数据流描述。其VHDL程序如下:library ieee;use ieee.std_logic_1164.all;entity xiti309 is port(a,b,c,d:in std_logic;y3,y2,y1,
8、y0:out std_logic);end;architecture xiti309ar of xiti309 is begin y3=a or(b and c)or(b and d);y2=(a and d)or(b and(not c)and(not d);y1=(a and(not d)or(not b)and c)or(c and d);y0=(a and(not d)or(not a)and(not b)and d)or(b and c and(not d);end xiti309ar;,*【例6-5】在只有原变量输入、没有反变量输入条件下,用最少与非门实现下列函数。,解:(1)用卡
9、诺图对函数进行化简,得到最简与或式。,由式画出的逻辑电路如下图所示,(2)对简化式做如下变换:,1.利用多余项定理,添加多余项(生成项),原式变为,2.合并尾部因子,3.变为与非-与非式,4.画逻电路辑图,6.3 常用组合逻辑电路,6.3.1 加法器,半加器:只考虑本位两个二进制数相加,而不考虑来自低位进 位数相加的运算电路。全加器:除考虑本位两个二进制数相加外,还考虑来自低位进 位数相加的运算电路。串行进位:电路进行二进制加法运算时,各全加器由低位到高 位逐位传递进位信号。超前进位:电路进行二进制加法运算时,通过快速进位电路几 乎同时产生进位信号。,1.半加器(加法器基本单元),半加器电路能
10、用与非门实现吗?,用与非门实现的半加器电路为,用VHDL描述一位全加器,library ieee;use ieee.std_logic_1164.all;entity adder is port(ai,bi,ci:in std_logic;si,co:out std_logic);end adder;architecture adder of adder is beginsi=ai xor bi xor ci;co=(ai and bi)or(ci and(ai xor bi);end adder;,3.加法器,实现多位加法运算的电路,其低位进位输出端依次连至相邻高位的进位输入端,最低位进位输
11、入端接地。因此,高位数的相加必须等到低位运算完成后才能进行,这种进位方式称为串行进位。运算速度较慢。,其进位数直接由加数、被加数和最低位进位数形成。各位运算并行进行。运算速度快。,(1)串行进位加法器,特点:电路简单,运算速度较慢,(2)超前进位加法器 CT74LS283,相加结果读数为 C3S3S2S1S0,4 位二进制加数 B 输入端,4 位二进制加数 A 输入端,低位片进位输入端,本位和输出端,向高位片的进位输出,各进位位表达式,各位和数表达式,283结构示意图,应用实例1,由四位超前进位加法器74LS283和异或门74LS86组成的可控的四位并行二进制加法减法运算电路。,当 的时候,以
12、反变量形式 输入到并行加法器,进位输入端,这样加法器完成,为 的补码,运算结果为。当 的时候,以原变量形式输入到并行加法器,进位输入端,运算结果为。该电路可以对4位有符号或无符号二进制数作加减运算。,主要要求:,理解译码的概念。,掌握二进制译码器 CT74LS138 的逻辑功能和使用方法。,6.3.2译码器,理解其他常用译码器的逻辑功能和使用方法。,译码的概念与类型,译码器(即 Decoder),用于检测特定数字状态的组合逻辑电路,译码器的逻辑功能,简单逻辑门译码器,将输入二进制代码的特定信息翻译出来,1简单逻辑门译码器,一个与(或)逻辑门就是最简单的译码器,可用于检测特定的二进制数值。,图(
13、a)所示的译码器在输入为1111时,输出为高电平。图(b)所示的译码器在输入为1111时,输出为低电平。,(a),(b),【例6-8】试分析图6-27所示简单逻辑门译码器。说出每个译码器的输入码及输出有效电平。,译码输出低电平有效,2.二进制译码器,将输入二进制代码译成相应输出信号的电路。,译码输出高电平有效,试设计一个译码器,其输出,和,都是低电平并且地址在3F8H与3FFH之间的时候,,有效可访问,串口。,都是低电平有效。当,或,都是低电平并且地址在3F8H与3FFH之间的时候,,都是低电平并且地址在2F8H与2FFH之间的时候,,或,有效可访问,试设计一个译码器,其输出,都是低电平有效。
14、当,有效的地址范围为:,我们将地址解码为:,【例6-10】试设计一个2线-4线的二进制代码译码器,其逻辑框图如图所示。,2线-4线译码器真值表,输出逻辑函数式,二进制译码器的逻辑图,(一)3 线 8 线译码器 CT74LS138 简介,(一)3 线 8 线译码器 CT74LS138 简介,3 位二进制码输入端,8 个译码输出端低电平有效。,允许译码器工作,禁止译码,0,0,输出逻辑函数式,二进制译码器能译出输入变量的全部取值组合,故又称变量译码器,也称全译码器。其输出端能提供输入变量的全部最小项。,1.用VHDL语言描述3-8线译码器library ieee;use ieee.std_logi
15、c_1164.all;use ieee.std_logic_unsigned.all;entity decoder38 isport(inp:in std_logic_vector(2 downto 0);outp:out std_logic_vector(7 downto 0);end entity decoder38;architecture art4 of decoder38 isbeginprocess(inp),begin case inp is when 000=outpoutpoutpoutpoutpoutpoutpoutpoutp=xxxxxxxx;end case;end p
16、rocess;end architecture art4;,【例6-11】用2片2线-4线译码器扩展成3线-8线译码器,(三)译码器的扩展,低位片,高位片,例如 两片 CT74LS138 组成的 4 线 16 线译码器。,16 个译码输出端,4 位二进制码输入端,低 3 位码从各译码器的码输入端输入。,STA不用,应接有效电平 1。,作 4 线 16 线译码器使能端,低电平有效。,【例6-12】用2片3线-8线译码器74LS138扩展成4线-16线译码器,CT74LS138 组成的 4 线 16 线译码器工作原理,将 BCD 码的十组代码译成 0 9 十个对应输出信号的电路,又称 4 线 10
17、 线译码器。,3.二十进制译码器,8421BCD 码输入端,从高位到低位依次为 A3、A2、A1 和 A0。,10 个译码输出端,低电平 0 有效。,0,0,0,1,4.七段显示译码器,将输入的 BCD 码译成相应输出信号,以驱动显示器显示出相应数字的电路。,(一)数码显示译码器的结构和功能示意,(二)数码显示器简介,数字设备中用得较多的为七段数码显示器,又称数码管。常用的有半导体数码显示器(LED)和液晶显示器(LCD)等。它们由七段可发光的字段组合而成。,1.七段半导体数码显示器(LED),显示的数字形式,VCC+5 V,串接限流电阻,a g 和 DP 为低电平时才能点亮相应发光段。,a
18、g 和 DP 为高电平时才能点亮相应发光段。,共阳接法数码显示器需要配用输出低电平有效的译码器。,共阴接法数码显示器需要配用输出高电平有效的译码器。,2.七段显示译码器,74LS48驱动共阴数码管的接线图,用VHDL语言描述7段显示译码器,library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity led7s is port(din:in std_logic_vector(3 downto 0);led7:out std_logic_vector(6 downto 0);end led7s;ar
19、chitecture behv of led7s isbegin process(din)begin case din is,when 0000=led7 led7 led7 led7 led7 led7 led7 led7 led7 led7 led7=0000000;-不显示 end case;end process;end behv;,6.3.3编码器,主要要求:,理解编码的概念。,理解常用编码器的类型、逻辑功能和使用方法。,编码器的概念与类型,编码,将具有特定含义的信息编成相应二进制代码的过程。,实现编码功能的电路,1.二进制编码器,【例6-13】试设计一个输入为低电平有效、输出为原码
20、的 3位二进制普通编码器,用与非门实现。,这8个编码信号是相互排斥的。,当,都为1时,输出,=000,就是,的编码,所以,输入线可以不画出。,2.二十进制编码器,将 0 9 十个十进制数转换为二进制代码的电路。又称十进制编码器。,原码输出,10 线 4 线编码器,被编信号高电平有效,为何要使用优先编码器?,3.优先编码器(即 Priority Encoder),允许同时输入数个编码信号,并只对其中优先权最高的信号进行编码输出的电路。,普通编码器在任何时刻只允许一个输入端请求编码,否则输出发生混乱。,二-十进制优先编码器 CT74LS147,反码输出,依次类推,用VHDL描述4线-2线优先编码器
21、,library ieee;use ieee.std_logic_1164.all;entity encoder is port(a:in std_logic_vector(3 downto 0);y:out std_logic_vector(1 downto 0);eo:out std_logic);end encoder;architecture encoderp of encoder is begin process(a)begin if a(3)=1 then y=11;,eo=1;elsif a(2)=1 then y=10;eo=1;elsif a(1)=1 then y=01;e
22、o=1;elsif a(0)=1 then y=00;eo=1;elsif a=0000 then y=00;eo=0;end if;end process;end encoderp;,应用实例3,【例6-16】水箱水位监测显示电路设计 已知一个水箱高10米,为了监测水箱水位的变化情况,试设计一个水箱中水面高度监测显示电路。显示分辨率以整数米(m)为单位。,编码和译码的对应转换真值表,水箱水位监测显示电路原理图,图中 为水箱水位监测探头,其给出的数据作为优先编码器的输入,优先编码器的输出经非门反相后送给七段显示译码器,译码器输出直接驱动数码管显示水位高度。,数据分配器:根据地址码的要求,将一路
- 配套讲稿:
如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。
- 特殊限制:
部分文档作品中含有的国旗、国徽等图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。
- 关 键 词:
- 组合 逻辑电路
链接地址:https://www.31ppt.com/p-6331226.html