《设计输入方式》PPT课件.ppt
《《设计输入方式》PPT课件.ppt》由会员分享,可在线阅读,更多相关《《设计输入方式》PPT课件.ppt(17页珍藏版)》请在三一办公上搜索。
1、第5章 VHDL设计输入方式,EDA技术与VHDL设计,第5章 VHDL设计输入方式,5.1,Quartus II的VHDL输入设计,Synplify pro的VHDL输入设计,Synplify的VHDL输入设计,5.2,5.3,基于HDL文本输入的数字设计流程,5.1 Quartus II的VHDL输入设计,1.输入源程序,【例5.1】4位模16加法计数器library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity CNT4 is port(CLK,CLR:in std_logic;-CLR是异
2、步复位端 Q:buffer std_logic_vector(3 downto 0);end;architecture ONE of CNT4 isBegin process(CLR,CLK)begin if CLR=1 then Q=0000;-CLR为高电平时,复位计数器状态到0elsif CLKevent and CLK=1 then Q=Q+1;end if;end process;end;,2.创建工程,3.编译,RTL级原理图,综合后的门级原理图,编辑输入信号波形,4.仿真,波形赋值快捷键,选中波形,总线型数据,5.2 Synplify pro的VHDL输入设计,LIBRARY I
3、EEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CNT10 IS PORT(CLK,RST,EN:IN STD_LOGIC;CQ:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);COUT:OUT STD_LOGIC);END CNT10;ARCHITECTURE behav OF CNT10 ISBEGIN PROCESS(CLK,RST,EN)VARIABLE CQI:STD_LOGIC_VECTOR(3 DOWNTO 0);BEGIN IF RST=1 THEN CQI:=(OT
- 配套讲稿:
如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。
- 特殊限制:
部分文档作品中含有的国旗、国徽等图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。
- 关 键 词:
- 设计输入方式 设计 输入 方式 PPT 课件
链接地址:https://www.31ppt.com/p-5605500.html