六分频加法电路的设计.docx
《六分频加法电路的设计.docx》由会员分享,可在线阅读,更多相关《六分频加法电路的设计.docx(15页珍藏版)》请在三一办公上搜索。
1、六分汉频加法展电路的勺设计1相关原理分析1.1计数器计数器是实现分频电路的基础,计数器包括普通计数器和约翰逊计数器两种,这两种 电路均可用于分频电路中。最普通的计数器莫过于加法(减法)计数器。以3位二进制计数器为例,计数脉冲CP 通过计数器时,每输入一个计数脉冲,计数器的最低位(记为Q0,后面的依次记为Q Q2、)翻转一次,QQ2、都以前一级的输出信号作为触发信号。分析这个过程,不难得 出输出波形。图1-1 3位二进制计数器时序图由上很容易看出Q0的频率是CP的1/2,即实现了 2分频,Q1则实现了 4分频,同 理Q2实现了 8分频。这就是加法计数器实现分频的基本原理。约翰逊计数器是一种移位寄
2、存器,采用的是把输出的最高位取非,然后反馈送到最 低位触发器的输入端。约翰逊计数器在每一个时钟下只有一个输出发生变化。同样以3为 二进制为例。假设最初值或复位状态是000,则依次是000、001、011、111、110、100、 000这样循环。由各位的输出可以看出,约翰逊计数器最起码能实现2分频。1.2两种计数器的比较从以上分析可以看出约翰逊计数器没有充分有效地利用寄存器的所有状态,而且如果由于噪声引入一个无效状态,如010,则无法恢复到有效循环中去,需要加入错误恢复处 理。但其较之加法计数器也有它的好处。同一时刻,加法计数器的输出可能有多位发生变 化,因此当使用组合逻辑对输出进行译码时,会
3、导致尖峰脉冲信号。而约翰逊计数器可以 避免这个问题。1.3计数器的选择本次训练要求设计的是加法分频电路,选择的是加法计数器。加法计数器实现分频较 之约翰逊计数器简单,编程也容易理解一些,对于初学者也较容易上手。在前面已经讲过 加法计数器实现2n的分频的方法,现在就不在赘述。1.4偶数分频器如前所述,分频器的基础是计数器,设计分频器的关键在于输出电平翻转的时机。偶 数分频最易于实现,要实现占空比为50%的偶数N分频,一般来说有两种方案:一是当计 数器计数到N/2-1时,将输出电平进行一次翻转,同时给计数器一个复位信号,如此循环 下去;二是当计数器输出为0到N/2-1时,时钟输出为0或1,计数器输
4、出为N/2到N-1 时,时钟输出为1或0,当计数器计数到N-1时,复位计数器,如此循环下去。可以根据 以上两种方案设计电路和程序。2六分频加法电路2.1电路的结构设计前面已经讲到过关于2n分频可以直接通过计数器获得。而对于一些非2的整数次幕 的分频,如本次课设的6分频,还需要在基本计数器电路描述中加上复位控制电路。cntQpO)图2-1加法分频电路的RTL视图2.2电路的程序设计由偶数分频器的设计原理我们可以得到两种设计方案:1) 当计数器计数到2的时候,将输出电平取反,同时给输出电路一个复位信号,如 此循环,这就是第一种方案;2) 当计数器输出为0到2时,时钟输出为0(或1),而当计数器输出
5、为3到5时, 时钟输出为1(或0),且当计数器输出为5时,复位计数器,如此循环下去,此为第二种 方案。根据以上方案,设计出的程序如下:-filename clk_div1.vhd-description: 占空比为50%的6分频Library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all ;entity clk_div1 is-定义实体 clk_div1port(clk_in: in std_logic; clk_out: out std_logic);
6、-定义输入输出端口end clk_div1;-第一种方案architecture a of clk_div1 issignal clk_outQ: std_logic : =0;-赋初始值仅供仿真使用signal countQ: std_logic_vector (2 downto 0) := 000;beginprocess(clk_in)beginif(clk_inevent and clk_in = 1) then-检测信号的上升沿if(countQ /= 2) thenCountQ = CountQ + 1;-判断计数器是否计数到2,没有就加1elseclk_outQ = not cl
7、k_outQ;-计数器计数到 2,取反CountQ 0);end if;end if;end process;clk_out = clk_outQ;-计数器没有技术到2,保留原值 end a;-第二种方案architecture b of clk_div1 issignal countQ: std_logic_vector(2 downto 0);beginprocess(clk_in)beginif(clk_inevent and clk_in = 1) then -检测时钟信号的上升沿if (countQ 5) thencountQ = countQ +1;-计数器是否计数到5,没有则加1
8、elsecountQ =0;-计数器计数到5,复位end if;end if;end process;process(countQ)beginif(countQ 3) thenclk_out = 0;-计数器没有计数到3,则令计数器为0elseclk_out = 1;-计数器计数到3以上,则令计数器为1end if;end process;end b;configuration cfg of clk_div1 is -把 a 配置到机构体 clk_div1for aend for;end cfg;2.3仿真结果两种方案得到的结果相同,具体结果见下图:图2-2仿真结果2.4方案比较第一种方案的适
9、用性不强,不及第二种方案,它仅仅能实现占空比为50%的分频器。 第二种方案则可以有限度的调整占空比,通过调节输出段来实现。如调节计数器,当计数 器计数到0到3时,时钟输出为0(或1),当计数器计数到4到5是,时钟输出为1(或 0),当计数器计数到5时,复位计数器,如此循环。本次课设没有特别要求考虑占空比,所以两种方案都可以。第一种方案是大家最容易 想到,也是最容易理解的一种,实现起来的思路也比较明朗。第二种方案也比较容易理解, 但是它最大的优点是能有限度的调整占空比。在实际的应用中可操作性更强,更适合实际 的需要。3流程图3.1方案一流程图图3-1方案一流程图3.2方案二流程图开始图3-2方案
- 配套讲稿:
如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。
- 特殊限制:
部分文档作品中含有的国旗、国徽等图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。
- 关 键 词:
- 分频 加法 电路 设计

链接地址:https://www.31ppt.com/p-5039223.html