8位加法器电路设计全加器设计word格式word格式.doc
《8位加法器电路设计全加器设计word格式word格式.doc》由会员分享,可在线阅读,更多相关《8位加法器电路设计全加器设计word格式word格式.doc(5页珍藏版)》请在三一办公上搜索。
1、项目四 8位加法器电路设计1. 实训目标1) 通过8位加法器的设计,掌握组合逻辑电路的设计方法。2) 分别使用原理图和文字编辑的方法实现8位加法器的设计,通过电路的仿真和硬件验证,进一步掌握原理图设计与文本设计的过程。2. 实训步骤1) 采用原理图编辑法,采用Altera MAX+PLUS II的MF函数里面调用8位全加器宏函数8fadd实现电路设计。编程器件型号选择ACE1k系列的EP1K30TC144-3。完成项目编辑及功能仿真。2) 采用文本编辑法,即利用VHDL语言描述8位加法器,4位加法器的参考代码如下。然后对其进行编译,编程器件型号选择ACE1k系列的EP1K30TC144-3,完
2、成程序仿真,记录仿真数据。3) 由两个并行的4位加法器级联而成。选用原理图编辑发或者文本编辑法实现8位全加器电路。并通过仿真验证。3. 实训数据1) 原理图编辑法设计的8位加法器的电路。2) 原理图编辑法仿真结果。简述仿真波形的意义。A8.1B8.1输出端 Cout进位端 3) 步骤2、步骤3选做一种,记录电路图或程序。library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity adder8bit isport(cin:in std_logic;a,b:in std_logic_vector(7
- 配套讲稿:
如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。
- 特殊限制:
部分文档作品中含有的国旗、国徽等图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。
- 关 键 词:
- 加法器 电路设计 全加器 设计 word 格式
链接地址:https://www.31ppt.com/p-4146250.html