【中期报告】基于FPGA的多信号发生器设计与实现.doc
《【中期报告】基于FPGA的多信号发生器设计与实现.doc》由会员分享,可在线阅读,更多相关《【中期报告】基于FPGA的多信号发生器设计与实现.doc(10页珍藏版)》请在三一办公上搜索。
1、 毕业设计(论文)中期报告题目名称:基于FPGA的多信号发生器设计与实现 一、课题任务1.毕业设计题目:基于FPGA的函数信号发生器。2.毕业设计要求:本设计要求产生频率,幅度,可调的正弦波,三角波和方波。二、总体设计方案该方案采用FPGA作为中心控制逻辑,由于其具有高速和逻辑单元数多的特点,因此可以由FPGA、DAC和I/V运放直接构成信号源发生器的最小系统。在该方案中通过FPGA控制DAC并直接向DAC发送数据,这样就提高了所需波形的频率并绕过了通用存储器读取速度慢的特点,再加上外部的开关按钮就能够简单控制波形切换与频率选择。当然,为了增加人机界面的交互性与系统功能,可以在原有的基础上添加
2、一个标准键盘和LED或LCD,这样就能够通过编程实现波形的任意性、幅度变化的灵活性。图1系统总体方案图三、 阶段性成果FPGA软件设计部分3.1频率控制部分(相位累加器)相位累加器模块如图2所示,相位累加器根据参考时钟fc=1024hz以频率控制字K进行累加,实现频率的控制,输出信号频率:fo=Kfc/2N;本设计采用16位的相位累加器,N=16,输出信号频率范围0.015-1024hz。LIBRARY IEEE;-频率控制器USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY fcontrol ISPORT (
3、clk:in std_logic; f:in std_logic_vector(15 downto 0);q:out std_logic_vector(15 downto 0); END ENTITY fcontrol;ARCHITECTURE behave OF fcontrol IS signal qf: std_logic_vector(15 downto 0); signal q1: std_logic_vector(15 downto 0);BEGIN PROCESS(clk) BEGIN if clkevent and clk=1 then qf=f; q1=qf+q1; end
4、if; q=q1; END PROCESS; END ARCHITECTURE behave;图2 相位累加器3.2相位控制部分相位增量模块如图3所示,最小相位增量 =2/2N=9.6e-5弧度LIBRARY IEEE;-相位控制器USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY pcontrol ISPORT ( x:in std_logic_vector(15 downto 0); qf:in std_logic_vector(15 downto 0);q:out std_logic_vector(5 do
5、wnto 0); END ENTITY pcontrol;ARCHITECTURE behave OF pcontrol IS signal q1: std_logic_vector(15 downto 0);BEGIN q1=qf+x; q100 then en=1; cs=1; q=000000000; else en=clk; cs=0; q=sel&qx; end if; end process; END ARCHITECTURE behave;图4 波形选择部分3.4波形数据存储器ROM波形rom如图5所示,波形数据的建立,FPGA输出的数字信号需要经DA转换器转换成各种波形输出。而
- 配套讲稿:
如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。
- 特殊限制:
部分文档作品中含有的国旗、国徽等图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。
- 关 键 词:
- 中期报告 中期 报告 基于 FPGA 信号发生器 设计 实现

链接地址:https://www.31ppt.com/p-4015478.html