课程设计论文基于VHDL语言的流水灯设计.doc
《课程设计论文基于VHDL语言的流水灯设计.doc》由会员分享,可在线阅读,更多相关《课程设计论文基于VHDL语言的流水灯设计.doc(15页珍藏版)》请在三一办公上搜索。
1、滨江学院课程论文(可编程器件原理与应用)题目 基于VHDL语言的流水灯设计 学生姓名 学 号 院 系 滨江学院 专 业 电子与信息工程 指导教师 二零一零年十二月三十日一、 任务:采用ALTERA公司的EPM7128SLC84-10芯片,通过VHDL语言设计一个流水灯电路。流水灯样式必须大于3种,且可以通过按键调节显示样式;可以通过按键调节流水灯变化快慢;当前流水灯样式和变化速度能够通过数码管显示出来;(附加:具有按键声)二、 设计框图(框图说明)速度按键样式按键按键控制模块速度控制样式选择译码和扫描数码显示彩灯显示1000HZ信号100HZ信号蜂鸣器1MHZ周期信号经过2个100分频,得到1
2、00HZ,再经过1个10分频得到10HZ信号,传给速度控制模块,得到需要的速度周期信号,然后传给样式选择模块,样式选择模块直接输出彩灯样式;控制模块通过接受两个按键信号,同时控制速度控制模块和样式选择模块;译码扫描模块通过判断控制模块,扫描数码管显示当前彩灯样式和彩灯变化速度;按键信号通过延时模块输出按键发生信号。三、原理图(CPLD内部原理说明)从原理图中可以看到,一共有8种模块,D触发器的作用是对按钮进行消抖,除D触发器之外的7个模块功能及作用如下:f100和f10分别是100和10的分频器,speed模块的作用是对彩灯变化速度进行控制,而style_switch模块的作用是对彩灯样式进行
3、调节。Control模块接收按键信号对样式和速度进行总的控制。Show模块是对速度值和样式值进行译码并进行扫描数码管,将当前样式和速度状态显示出来。Delay模块则是对按键声的延时。四、各个模块设计(波形仿真)1.f100模块功能:100分频波形仿真:VHDL代码:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity f100 is -100分频port(clk:in std_logic; sec:out std_logic);end entity f100;architecture ge
4、tsec of f100 issignal secout : std_logic :=1;beginprocess(clk) isvariable count100: integer range 0 to 50;beginif clkevent and clk=1 thencount100:=count100+1;if count100=50 thensecout=not secout;count100:=0;end if;end if;end process;sec=secout;end architecture getsec;2.f10模块功能:10分频波形仿真:VHDL代码:librar
5、y ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity f10 is -10分频port(clk:in std_logic; sec:out std_logic);end entity f10;architecture getsec of f10 issignal secout : std_logic :=1;beginprocess(clk) isvariable count10: integer range 0 to 5;beginif clkevent and clk=1 thencount10:
6、=count10+1;if count10=5 thensecout=not secout;count10:=0;end if;end if;end process;sec=secout;end architecture getsec;3.speed模块功能:根据DATE输入端的数值大小,产生不同频率的周期信号,从而达到控制彩灯变化速率的目的。波形仿真:VHDL代码:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity speed is -速度控制port(clk,clr:in std_l
7、ogic;date:in std_logic_vector(1 downto 0); spd_out:out std_logic);end entity speed;architecture arch of speed issignal spd_num:std_logic_vector(1 downto 0):=00;signal num:std_logic_vector(1 downto 0):=00;signal out_temp : std_logic :=0;beginprocess(clk,clr) isbeginspd_num = 3-date;if clr=1 thennum =
8、 00;spd_num = 3-date;out_temp =spd_num thennum=00;out_temp=not out_temp;elsenum=num+1;end if;end if;end if;end process;spd_out=out_temp;end architecture arch;4. style_switch模块功能:根据DATE输入端的数值大小,输出不同的彩灯样式波形仿真:VHDL代码:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity style_
9、switch is -样式选择port(clk,clr:in std_logic;date:in std_logic_vector(1 downto 0);style_out:out std_logic_vector(14 downto 0);end entity style_switch;architecture arch of style_switch issignal style_num:std_logic_vector(1 downto 0);signal style_over:std_logic:=0;beginprocess(clk,clr) isvariable temp: in
- 配套讲稿:
如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。
- 特殊限制:
部分文档作品中含有的国旗、国徽等图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。
- 关 键 词:
- 课程设计 论文 基于 VHDL 语言 流水 设计
链接地址:https://www.31ppt.com/p-2393082.html