欢迎来到三一办公! | 帮助中心 三一办公31ppt.com(应用文档模板下载平台)
三一办公
全部分类
  • 办公文档>
  • PPT模板>
  • 建筑/施工/环境>
  • 毕业设计>
  • 工程图纸>
  • 教育教学>
  • 素材源码>
  • 生活休闲>
  • 临时分类>
  • ImageVerifierCode 换一换
    首页 三一办公 > 资源分类 > PPT文档下载  

    VHDL主要描述语句case语句.ppt

    • 资源ID:6522916       资源大小:364.50KB        全文页数:118页
    • 资源格式: PPT        下载积分:15金币
    快捷下载 游客一键下载
    会员登录下载
    三方登录下载: 微信开放平台登录 QQ登录  
    下载资源需要15金币
    邮箱/手机:
    温馨提示:
    用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP免费专享
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    VHDL主要描述语句case语句.ppt

    第16讲 VHDL的主要描述语句,VHDL顺序语句 VHDL并行语句,本讲知识点:,VHDL顺序描述语句变量赋值语句(Variable Evaluate)信号赋值语句(Signal Evaluate)WAIT语句IF 语句,VHDL顺序语句 顺序语句是指完全按照程序中书写的顺序执行各语句。顺序描述语句只能出现在进程或子程序中,用来定义进程或子程序的算法。顺序语句可以用来进行算术运算、逻辑运算、信号和变量的赋值、子程序调用等,还可以进行条件控制和迭代。,VHDL顺序语句主要包括:变量赋值语句(Variable Evaluate)信号赋值语句(Signal Evaluate)WAIT语句IF 语句CASE 语句LOOP 语句NEXT 语句EXIT 语句RETURN 语句过程调用语句(Procedure Call)断言语句(Assert)REPORT 语句 NULL 语句,变量赋值语句变量赋值语句语法格式为:变量赋值目标:=赋值表达式,例:PROCESS(s)VARIABLE count:INTEGER:=0-变量说明 BEGIN count:=s+1-变量赋值 END PROCESS;,对于数组赋值,可采用下列格式:,VARIABLE x,y:STD_LOGIC_VECTOR(0 TO 3);x:=“1011”;y(0 TO 1):=“01”;y(2 TO 3):=x(1 TO 2);,-整体赋值,数组“1011”赋值x-部分赋值,“01”赋值y的部分位-位置关联赋值,x的部分位赋值y的部分位,信号赋值具有延时性、全局性,赋值符用“=”表示。,信号赋值语句,格式:目标信号名:=赋值源;,该语句是将赋值源的当前值赋给目标信号。要求赋值号两边信号量的类型和长度应该一致。,例如:Y=1;X=Y;A=B AND C;,-字符赋值,信号Y被赋值为1-信号赋值,将信号Y的当前值赋给目标信号X-表达式赋值,将B和C的与逻辑赋给目标信号A,对于数组赋值,可采用下列格式:,SIGNAL x,y:STD_LOGIC_VECTOR(0 TO 3);x=“1011”;y(0 TO 1)=“01”;y(2 TO 3)=x(1 TO 2);当在同一进程中,同一信号赋值目标有多个赋值源时,信号赋值目标获得的是最后一个赋值源的赋值,其前面相同的赋值目标不作任何变化,-整体赋值,数组“1011”赋值x-部分赋值,“01”赋值y的部分位-位置关联赋值,x的部分位赋值y的部分位,SIGNAL A,B,C,D:STD_LOGIC:SIGNAL S:STD_LOGIC_VECTOR(1 TO 4)VARIABLE E,F:STD_LOGIC;VARIABLE G:STD_LOGIC_VECTOR(1 TO 2);VARIABLE H:STD_LOGIC_VECTOR(1 TO 4);S=(0,1,0,0);(A,B,C,D)=S;-位置关联方式赋值,位置关联赋值和名字关联赋值,A=0;B=1;C=0;D=0;,-名字关联方式赋值:(3=E,4=F,2=G(1),1=G(2):=H;,G(2):=H(1);G(1):=H(2);E:=H(3);F:=H(4);,WAIT语句等待(WAIT)语句在进程或过程中使用,用于程序的暂停和等待。当进程执行到等待语句时,就将被挂起并设置好再次执行的条件。WAIT语句可以设置4种不同的条件:无限等待、时间到、条件满足以及敏感信号量变化。,(1)WAIT-无限等待语句这种形式的WAIT语句在关键字“WAIT”后面不带任何信息,是无限等待的情况。,(2)WAIT ON 信号表-敏感信号等待语句 这种形式的WAIT语句使进程暂停,直到敏感信号表中某个信号值发生变化。WAIT ON语句后面跟着的信号表,在敏感信号表中列出等待语句的敏感信号。当进程处于等待状态时,其中敏感信号发生任何变化都将结束挂起,再次启动进程。,例A PROCESS BEGINy=a AND b;WAIT ON a,b;END PROCESS;例BPROCESS(a,b)BEGINy=a AND b;END PROCESS;,在例A中执行所有语句后,进程将在WAIT语句处被挂起,直到a或b中任何一个信号发生变化,进程才重新开始。例A与例B是等价的。,需要注意的是,在使用WAIT ON语句的进程中,敏感信号量应写在进程中的WAIT ON语句后面;而在不使用WAIT ON语句的进程中,敏感信号量应在开头的关键词PROCESS后面的敏感信号表中列出。VHDL规定,已列出敏感信号表的进程不能使用任何形式的WAIT语句。,(3)WAIT UNTIL 条件-条件等待语句 这种形式的WAIT语句使进程暂停,直到预期的条件为真。WAIT UNTIL后面跟的是布尔表达式,在布尔表达式中隐式地建立一个敏感信号量表,当表中任何一个信号量发生变化时,就立即对表达式进行一次测评。如果其结果使表达式返回一个“真”值,则进程脱离挂起状态,继续执行下面的语句。即WAIT UNTIL语句需满足以下条件:在条件表达式中所含的信号发生了变化;此信号改变后,且满足WAIT UNTIL语句中表达式的条件。这两个条件缺一不可,且必须按照上述顺序来完成。,WAIT UNTIL语句有以下三种表达方式:WAIT UNTIL 信号=VALUE;WAIT UNTIL 信号EVENT AND信号=VALUE;WAIT UNTIL 信号STABLE AND信号=VALUE;例如:WAIT UNTIL clock=1;WAIT UNTIL rising_edge(clk);WAIT UNTIL clk=1AND clk EVENT;WAIT UNTIL NOT clk STABLE AND clk=1;,(4)WAIT FOR 时间表达式-超时等待语句 例如:WAIT FOR 40 ns;在该语句中,时间表达式为常数40ns,当进程执行到该语句时,将等待40ns,经过40ns之后,进程执行WAIT FOR的后继语句。例如:WAIT FOR(a*(b+c);在此语句中,(a*(b+c)为时间表达式,WAIT FOR语句在执行时,首先计算表达式的值,然后将计算结果返回作为该语句的等待时间。,IF语句 在VHDL语言中,IF语句的作用是根据指定的条件来确定语句的执行顺序。IF语句可用于选择器、比较器、编码器、译码器、状态机等的设计,是VHDL语言中最常用的语句之一。IF语句按其书写格式可分为以下3种。,1.单选择控制语句这类语句书写格式为:IF 条件 THEN 顺序语句 END IF;,例:利用IF语句引入D触发器LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY dff IS PORT(clk,d:IN STD_LOGIC;q:OUT STD_LOGIC);END dff;ARCHITECTURE rtl OF dff IS BEGIN PROCESS(clk)BEGIN IF(clkEVENT AND clk=1)THEN q=d;END IF;END PROCESS;END rtl;,练习:,用单选择实现求两数中的较大数。输入:整数a,b;输出:较大数C,2.二选一控制语句这种语句的书写格式为:TF 条件 THEN 顺序语句 ELSE 顺序语句 END IF;,若条件句的逻辑值为真,则执行THEN后面的顺序语句,否则执行ELSE后面的顺序语句。,例:二选一电路结构体的描述(P100 例4-15)ARCHITECTURE rtl OF mux2 ISBEGIN PROCESS(a,b,s)BEGIN IF(s=1)THEN c=a;ELSE c=b;END IF;END PROCESS;END rtl;,练习:,用二选一结构实现求两数中的较大数。输入:整数a,b;输出:较大数C,3.多选择控制语句这种语句的书写格式为:IF 条件 THEN 顺序语句 ELSIF 顺序语句 ELSIF 顺序语句 ELSE 顺序语句 END IF;,这种多选择控制的IF语句,它设置了多个条件,当满足所设置的多个条件之一时,就执行该条件后的顺序处理语句。当所有设置的条件都不满足时,程序执行ELSE和END IF之间的顺序处理语句。,例:利用多选控制语句设计的四选一多路选择器(例4-16)LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY mux4 IS PORT(input:IN STD_LOGIC_VECTOR(3 DOWNTO 0);sel:IN STD_LOGIC_VECTOR(1 DOWNTO 0);y:OUT STD_LOGIC);END mux4;,ARCHITECTURE rtl OF mux4 ISBEGIN PROCESS(input,sel)BEGIN IF(sel=“00”)THEN y=input(0);ELSIF(sel=“01”)THEN y=input(1);ELSIF(sel=“10”)THEN y=input(2);ELSE y=input(3);END IF;END PROCESS;END rtl;,练习:,用多选择结构实现如下问题:1.求三个数中的最大数。输入:整数a,b,c 输出:最大数max 2.Y=1(X0)0(X=0)-1(X0),CASE语句CASE语句根据满足的条件直接选择多项顺序语句中的一项执行。CASE语句的结构为:CASE 表达式 ISWHEN 条件选择值=顺序语句,WHEN 条件选择值=顺序语句,END CASE;,注意:条件句中的“=”不是操作符,它相当于THEN作用。,功能:先计算表达式的值,然后根据条件句中的选择值执行相对应的顺序语句。,其中WHEN条件选择值可以有四种表达方式;单个普通数值,如:5;数值选择范围,如:(1 TO 3);并列值,如:4|6,表示取值为4或6;WHEN OTHERS=顺序语句,使用CASE语句需注意以下几点:,选择值必须在表达式的取值范围内;CASE语句中至少要包含一个WHEN语句;每个选择值只能出现一次,不能在其他WHEN语句中重复出现;除非所有选择值能完全覆盖CASE语句中的表达式的取值,否则最后一个条件句的选择值必须用“OTHERS”表示。选择值可以颠倒次序,但OTHERS必须放在最后;“=”不是操作符,相当于THEN语句的作用。,例1 CASE语句使用CASE command IS WHEN“00”=c c c c NULL;-无效END CASE;例2 CASE语句使用CASE sel IS WHEN 1TO 9=c c c=3;END CASE;,-适合4选1数据选择器,SIGNAL value:INTEGER RANGE 0 TO 15;SIGNAL out1:STD_LOGIC;.CASE value IS-缺少以WHEN引导的条件句 END CASE;.CASE value IS WHEN 0=out1 out1 out1 out1=0;END CASE;,CASE与IF比较 与IF语句相比,CASE语句组的程序语句是没有先后顺序的,所有表达式的值都并行处理。IF语句是有序的,先处理最起始、最优先的条件,后处理次优先的条件。,LOOP语句LOOP语句就是循环语句,它可以使包含的一组顺序语句被循环执行,其执行的次数由设定的循环参数决定。,LOOP语句有三种格式:FOR_LOOP语句 WHILE_LOOP语句 单个LOOP语句,FOR_LOOP语句主要用于循环次数已知的循环程序设计。可分为递增方式和递减方式。,FOR_LOOP语句,循环标号:FOR 循环变量 IN 初值 TO 终值 LOOP 顺序语句;END LOOP 循环标号;,递增格式:,递减格式:,循环标号:FOR 循环变量 IN 初值 DOWNTO 终值 LOOP 顺序语句;END LOOP 循环标号;,说明:循环从循环变量的“初值”开始,到“终值”结束,每执行一次循环体中的顺序语句后,循环变量的值递增或递减1。,FOR_LOOP语句,循环标号为任选项,用来给循环语句定位。,循环变量的初值和终值决定了循环次数(取整数)。循环次数:循环次数=|终值-初值|+1,【例】用FOR_LOOP语句描述八位奇偶校验器。,输入信号X是一个长度为8位的标准逻辑矢量。当X中1的个数为奇数时,输出Y=1,否则,Y=0。算法:用FOR_LOOP语句对X的值逐位进行异或运算。循环次数:由循环变量n控制,记录异或运算的次数。循环变量的初值为0,终值为7。,LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY loop1 IS PORT(X:IN STD_LOGIC_VECTOR(7 DOWNTO 0);Y:OUT STD_LOGIC);END loop1;ARCHITECTURE example4 OF loop1 IS BEGIN PROCESS(X)VARIABLE temp:STD_LOGIC;BEGIN temp:=0;FOR n IN 7 DOWNTO 0 LOOP temp:=temp XOR X(n);END LOOP;Y=temp;END PROCESS;END example4;,WHILE_LOOP语句是一种条件循环语句,用于循环次数未知的循环程序设计。,WHILE_LOOP语句,循环标号:WHILE 循环控制条件 LOOP 顺序语句;END LOOP 循环标号;,格式:,说明:若循环控制条件为“真”,则进行循环执行顺序语句;若循环控制条件为“假”,则结束循环。,【例】用WHILE_LOOP语句描述八位奇偶校验器。,ARCHITECTURE example5 OF loop2 IS BEGIN PROCESS(X)VARIABLE temp:STD_LOGIC;VARIABLE n:INTEGER;BEGIN temp:=0;n:=0;WHILE n 8 LOOP temp:=temp XOR X(n);n:=n+1;END LOOP;Y=temp;END PROCESS;END example5;,单个LOOP语句是最简单的循环方式,这种循环方式需要引入NEXT和EXIT等控制语句后才能确定。,单个LOOP语句,循环标号:LOOP 顺序语句;END LOOP 循环标号;,格式:,例1:简单LOOP语句的使用。,L2:LOOP A:=A+1;EXIT L2 WHEN A10;END LOOP L2;,-控制语句,当A大 于10时,跳出循环,例2:loop1:LOOP WAIT UNTIL clk=1;q=d AFTER 2 ns;END LOOP loop1;,NEXT语句是一种循环控制语句,通常嵌套在LOOP语句中使用,用于进行有条件或无条件的控制执行程序的转向。,NEXT语句,NEXT 循环标号 WHEN 条件表达式;,格式:,根据可选项,NEXT语句有三种格式:,NEXT语句,格式1:NEXT,功能:无条件结束本次循环,跳回到循环体的开始位置,执行下一次循环。,格式2:NEXT 循环标号,功能:无条件结束本次循环,从循环标号规定的位置,执行下一次循环。,格式3:NEXT WHEN 条件表达式,功能:有条件结束本次循环,当条件表达式满足时,结束本次循环,否则继续循环。,【例】用NEXT_WHEN语句实现单循环。,ARCHITECTURE example6 OF NEXT_WHEN1 IS BEGIN PROCESS(s)VARIABLE i:INTEGER;BEGIN L1:FOR i IN 7 DOWNTO 0 LOOP y(i)=0;NEXT WHEN s(i)=1;y(i)=1;END LOOP L1;END PROCESS;END example6;,-若s(i)=1成立,终止本次循环,返回到L1 否则,继续本次循环。,-返回到L1,EXIT语句和NEXT语句一样,都是循环控制语句,主要在LOOP语句中使用,用于进行有条件或无条件的跳转控制。,EXIT语句,EXIT 循环标号 WHEN 条件表达式;,格式:,根据可选项,EXIT语句有三种格式:,EXIT语句,格式1:EXIT,功能:无条件跳出循环,从END LOOP下面的语句开始执行。,格式2:EXIT 循环标号,功能:无条件跳出循环,从循环标号规定的位置开始执行循环体外的语句。,格式3:EXIT WHEN 条件表达式,功能:有条件跳出循环,当条件表达式不成立时,继续执行循环,否则跳出循环。,【例】用EXIT语句实现两个数组的比较。,PROCESS(X,Y)BEGIN Z=“00”;FOR n IN 7 DOWNTO 0 LOOP IF(X(n)=Y(n)THEN NEXT;ELSIF(X(n)Y(n)THEN Z=“01”;EXIT;ELSE Z=“10”;EXIT;END IF;END LOOP;END PROCESS;,设X、Y分别为八位数组,当X=Y时,Z=00;当XY时,Z=10;当XY时,Z=01。,返回语句 RETURN RETURN语句是一段子程序结束后,返回主程序的控制语句。它只能用于函数与过程体内,并用来结束当前最内层函数或过程体的执行。RETURN语句的书写格式为:RETURN;RETURN 表达式;,例:在函数体中使用RETURN语句LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY example IS PORT(a,b:IN INTEGER;y:OUT INTEGER);END example;ARCHITECTURE rtl OF example ISBEGIN PROCESS(a,b)FUNCTION maximum(a,b:INTEGER)RETURN INTEGER IS VARIABLE tmp:INTEGER;,BEGIN IF(a b)THEN tmp:=a;ELSE tmp:=b;END IF;END maximum;BEGIN y=maximum(a,b);END PROCESS;END rtl;,上例是一个对两个输入整数取最大值的功能描述,在结构体的进程中定义了一个取最大值的函数。在函数体中正是通过RETURN语句将比较得到的最大值返回的,并结束该函数体的执行。,NULL语句NULL语句是空操作语句,不完成任何操作,执行NULL语句只是让程序运行流程走到下一个语句。NULL语句的书写格式为:NULL;NULL语句常用于CASE语句中,利用NULL来表示所余的不用的条件下的操作行为,以满足CASE语句对条件值全部列举的要求。,例:采用NULL语句的四选一数据选择器。LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY mux4 IS PORT(d0:IN STD_LOGIC_VECTOR(7 DOWNTO 1);d1:IN STD_LOGIC_VECTOR(7 DOWNTO 1);d2:IN STD_LOGIC_VECTOR(7 DOWNTO 1);d3:IN STD_LOGIC_VECTOR(7 DOWNTO 1);s0:IN STD_LOGIC;s1:IN STD_LOGIC;y:OUT STD_LOGIC_VECTOR(7 DOWNTO 1);END mux4;ARCHITECTURE behave OF mux4 IS,BEGIN lable:PROCESS(d0,d1,d2,d3,s0,s1)VARIABLE tmp:INTEGER;BEGIN tmp:=0;IF(s0=1)THEN tmp:=tmp+1;END IF;IF(s1=1)THEN tmp:=tmp+2;END IF;,CASE tmp IS WHEN 0=y y y y NULL;END CASE;END PROCESS;END behave;上例是通过对用于选通8位总线的四选一多路选择器进行功能描述,具体说明NULL语句的使用。,过程调用语句(Procedure Call)与其他高级程序设计语言相似,VHDL提供了子程序的概念。其中在进程、函数和过程中,可以使用过程调用语句,此时它是一种顺序语句。一个过程被调用时将去执行它的过程体。过程调用语句的书写格式为:过程名(实参表);,例:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY max IS PORT(in1:IN STD_LOGIC_VECTOR(7 DOWNTO 0);in2:IN STD_LOGIC_VECTOR(7 DOWNTO 0);in3:IN STD_LOGIC_VECTOR(7 DOWNTO 0);q:OUT STD_LOGIC_VECTOR(7 DOWNTO 0);END max;,ARCHITECTURE rtl OF max IS PROCEDURE maximum(a,b:IN STD_LOGIC_VECTOR;c:OUT STD_LOGIC_VECTOR)IS VARIABLE temp:STD_LOGIC_VECTOR(aRANGE);BEGIN IF(a b)THEN temp:=a;ELSE temp:=b;END IF;c:=temp;END maximum;,BEGIN PROCESS(in1,in2,tmp1)VARIABLE tmp1,tmp2:STD_LOGIC_VECTOR(7 DOWNTO 0);BEGIN maximum(in1,in2,tmp1);-过程调用 maximum(tmp1,in3,tmp2);q=tmp2;END PROCESS;END rtl;上例是一个取三个输入位矢量最大值的功能描述,它在结构体中的进程语句中使用了两个过程调用语句。,断言语句(Assert)断言语句分为顺序断言语句和并行断言语句,顺序断言语句主要用于进程、函数和过程仿真、调试中的人机对话,它可以给出一个文字串作为警告和错误信息。断言语句的书写格式如下:ASSERT 条件 REPORT 报告信息 SEVERITY 出错级别;在执行过程中,断言语句对条件(布尔表达式)的真假进行判断,如果条件为“TURE”,则向下执行另外一条语句;如果条件为“FALSE”,则输出错误信息和错误严重程度的级别。在REPORT后面跟着的是设计者写的字符串,通常是说明错误的原因,字符串要用双引号括起来。SEVERITY后面跟着的是错误严重程度的级别,他们分别是:,NOTE(注意)WARNING(警告)ERROR(错误)FAILURE(失败)若REPORT子句缺省,则默认消息为“Assertion violation”;若SEVERITY子句缺省,则出错级别的默认值为“ERROR”。,例:RS触发器的VHDL描述中断言语句的使用LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY rsff IS PORT(s:IN BIT;r:IN BIT;q:OUT BIT;qb:OUT BIT);END rsff;ARCHITECTURE rtl OF rsff ISBEGIN PROCESS(s,r)VARIABLE last_state:BIT;,BEGIN ASSERT(NOT(s=1AND r=1)REPORT“Both s and r equal to1.”SEVERITY ERROR;IF(s=0AND r=0)THEN last_state:=last_state;ELSIF(s=0AND r=1)THEN last_state:=0;ELSE last_state:=1;END IF;q=last_state;qb=not(last_state);END PROCESS;END rtl;,上例中,如果 r 和 s 都为1时,表示一种不定状态。在进程中先是设定了一条断言语句,目的是:当判断 r 和 s 都为1时,输出终端将显示字符串“Both s and r equal to1.”,同时可能终止模拟过程,并显示错误的严重程度。接下来用IF语句判别触发器的其他三种情况,最后将值送到触发器的两个输出端口上。,REPORT 语句 REPORT语句不增加硬件任何功能,但提供顺序断言语句的短格式,在仿真时使用REPORT语句可以提高程序的可读性。REPORT语句的书写格式为:REPORT 输出信息 SEVERITY 出错级别;例:RS触发器的VHDL描述中REPORT语句的使用(本例中,用REPORT语句代替上例进程中的断言语句。),LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY rsff IS PORT(s:IN BIT;r:IN BIT;q:OUT BIT;qb:OUT BIT);END rsff;ARCHITECTURE rtl OF rsff ISBEGINPROCESS(s,r)VARIABLE last_state:BIT;,BEGIN IF(s=1AND r=1)THEN REPORT“Both s and r equal to1.”;ELSIF(s=0AND r=0)THEN last_state:=last_state;ELSIF(s=0AND r=1)THEN last_state:=0;ELSE last_state:=1;END IF;q=last_state;qb=not(last_state);END PROCESS;END rtl;,在VHDL中,并行语句在结构体中的执行是同时并发执行的,其书写次序与其执行顺序并无关联,并行语句的执行顺序是由他们的触发事件来决定的。我们知道,实际的硬件系统中很多操作都是并发的,因此在对系统进行模拟时就要把这些并发性体现出来,并行语句正是用来表示这种并发行为的。在结构体语句中,并行语句的位置是:ARCHITECTURE 结构体名 OF 实体名 IS 说明语句 BEGIN 并行语句 END 结构体名;,VHDL并行语句,其中并行语句主要有以下几种:PROCESS-进程语句BLOCK-块语句CONCURRENT SIGNAL ASSIGNMENT-并行信号代入语句CONDITIONAL SIGNAL ASSIGNMENT-条件信号代入语句SELECTIVE SIGNAL ASSIGNMENT-选择信号代入语句CONCURRENT PROCEDURE CALL-并行过程调用语句ASSERT-并行断言语句GENERIC-参数传递语句COMPONENT_INSTANT-元件例化语句GENERATE-生成语句并行描述语句语句可以是结构性的,也可以是行为性的。下面对这些语句的应用加以介绍。,进程语句(PROCESS)进程语句是最主要的并行语句,它在VHDL程序设计中使用频率最高,也是最能体现硬件描述语言特点的一条语句。进程语句的内部是是顺序语句,而进程语句本身是一种并行语句。进程语句的综合是比较复杂的,主要涉及这样一些问题:综合后的进程是用组合逻辑电路还是用时序逻辑电路来实现?进程中的对象是否有必要用寄存器、触发器、锁存器或是RAM等存储器件来实现。进程语句结构中至少需要一个敏感信号量,否则除了初始化阶段,进程永远不会被再次激活。这个敏感量一般是一个同步控制信号,同步控制信号用在同步语句中,同步语句可以是敏感信号表、WAIT UNTIL语句或是WAIT ON语句。一般来说,只有一个同步点或者是具有多个同步点但都使用完全相同的同步控制信号的进程不需要“记忆”在哪一个同步点上被挂起时,不会形成存储器。如下例所示:,-综合后不需要存储器的VHDL进程label1:PROCESS(a,b,c)BEGIN-其中没有其他同步描述AND PROCESS label1;-综合后需要存储器的VHDL进程label2:PROCESS BEGIN WAIT UNTIL clkEVENT AND clk=1;s=0;WAIT UNTIL clkEVENT AND clk=1;s=1;AND PROCESS label2;,-不会形成存储器的变量label3:PROCESS(a,b,c)VARIABLE var:BIT;BEGIN var:=a XOR b;s=var AND c;AND PROCESS label3;-需要存储器的变量label4:PROCESS TYPE state_table IS(stop,go);VARIABLE state:table_ state;BEGIN WAIT UNTIL clkEVENT AND clk=1;,CASE state IS-state在赋值之前先被读访问 WHEN stop=state:=go;WHEN go=state:=stop;-这两个语句是并发关系 END CASE;AND PROCESS label4;,-综合为触发器的进程label5:PROCESSBEGIN WAIT UNTIL clkEVENT AND clk=1;q=d;END PROCESS label5;,块语句(BLOCK)块(BLOCK)语句可以看作是结构体中的子模块,块语句把许多并行语句组合在一起形成一个子模块,而它本身也是一个并行语句。块语句的基本结构如下:块标号:BLOCK 保护表达式类属子句 类属接口表;;端口子句 端口接口表;;块说明部分BEGIN END BLOCK 块标号;,例:利用块语句描述的全加器LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY add IS PORT(A:IN STD_LOGIC;B:IN STD_LOGIC;Cin:IN STD_LOGIC;Co:OUT STD_LOGIC;S:OUT STD_LOGIC);END add;ARCHITECTURE dataflow OF add ISBEGIN,ex:BLOCK PORT(a_A:IN STD_LOGIC;a_B:IN STD_LOGIC;a_Cin:IN STD_LOGIC;a_Co:OUT STD_LOGIC;a_S:OUT STD_LOGIC);PORT MAP(a_A=A,a_B=B,a_Cin=Cin,a_Co=Co,a_S=S);SIGNAL tmp1,tmp2:STD_LOGIC;BEGIN label1:PROCESS(a_A,a_B)BEGIN tmp1=a_A XOR a_B;END PROCESS label1;,label2:PROCESS(tmp1,a_Cin)BEGIN tmp2=tmp1AND a_Cin;END PROCESS label2;label3:PROCESS(tmp1,a_Cin)BEGIN a_S=tmp1XOR a_Cin;END PROCESS label3;label4:PROCESS(a_A,a_B,tmp2)BEGIN a_Co=tmp2 OR(a_A AND a_B);END PROCESS label4;END BLOCK ex;END dataflow;,在上面的例子中,结构体内含有4个进程语句,这4个进程语句是并行关系,共同形成了一个块语句。在实际应用中,一个块语句中又可以包含多个子块语句,这样循环嵌套以形成一个大规模的硬件电路。,并行信号代入语句信号代入语句有两种:一种是在结构体中的进程内使用,此时它作为一种顺序语句出现;另一种是在结构体的进程之外使用,此时它是一种并行语句,因此称之为并行信号代入语句。并行信号代入语句的语法格式为:信号量=敏感信号量表达式;需要注意的是,一条信号代入语句与一个信号代入的进程语句是等价的,我们可以把一条信号代入语句改写成一个信号代入的进程语句。,例:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY and_gat IS PORT(a:IN STD_LOGIC;b:IN STD_LOGIC;y:OUT STD_LOGIC);END and_gat;ARCHITECTURE behave OF and_gat ISBEGIN y=a AND b;-并行信号代入语句(在结构体进程之外)AND behave;本例是一个2输入与门的VHDL描述,在结构体中使用了并行信号代入语句。下面是2输入与门的另一种VHDL描述,在描述的结构体中采用了与上述并行信号代入语句等价的进程语句。,例:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY and_gat IS PORT(a:IN STD_LOGIC;b:IN STD_LOGIC;y:OUT STD_LOGIC);END and_gat;ARCHITECTURE behave OF and_gat ISBEGIN PROCESS(a,b)BEGIN y=a AND b;-进程语句(顺序语句)AND PROCESS;AND behave;,通过对上述两个例子的分析可见:从并行信号代入语句描述来看,当代入符号“=”右边的值发生任何变化时,信号代入语句的操作立即执行,将信号代入符号“=”右边的表达式代入给左边的信号量;从进程语句的描述来看,当进程敏感信号表中的敏感信号量发生变化时,进程将被启动,顺序信号代入语句将被执行以完成信号的代入操作。在VHDL中提供了三种并行信号代入语句:并发信号代入语句条件信号代入语句 选择信号代入语句,(1)并发信号代入语句信号代入语句在进程内部执行时,它是一种顺序语句;信号代入语句在结构体的进程之外出现时,它作为并发语句的形式出现。作为并发信号代入语句,在结构体中他们是并行执行的,他们的执行顺序与书写无关。并发信号代入语句是靠事件驱动的。对于并发信号代入语句来说,只有代入符号“=”右边的对象有事件发生时才会执行该语句。在实际设计中,并发信号代入语句常用来进行加法器、乘法器、除法器和比较器等多种逻辑电路的描述。下面是一个用VHDL并发语句描述的全加器的例子。,例:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY add IS PORT(A:IN STD_LOGIC;B:IN STD_LOGIC;Cin:IN STD_LOGIC;Co:OUT STD_LOGIC;S:OUT STD_LOGIC);END add;ARCHITECTURE dataflow OF add IS SIGNAL tmp1,tmp2:STD_LOGIC;,BEGIN tmp1=A XOR B;tmp2=tmp1 AND Cin;-4条并发信号代入语句 S=tmp1 XOR Cin;Co=tmp2 OR(A AND B);AND dataflow;,在上例的结构体中有4条并发信号代入语句,他们的执行顺序与书写顺序是无关的,因此上面的4条并发信号代入语句可以任意颠倒书写顺序,不会对执行结果产生任何影响。上面提到的并发信号代入语句是事件驱动的,例如:tmp2=tmp1 AND Cin;S=tmp1 XOR Cin;两条语句,只要tmp1 和 Cin中的值有一个发生变化,即有事件发生,那么这两条语句就会立即并发执行。,(2)条件信号代入语句条件信号代入语句也是一种并发描述语句,它是一种根据不同条件将不同的表达式代入目的信号的语句。条件信号代入语句的书写格式为:目的信号=表达式1 WHEN 条件1 ELSE 表达式2 WHEN 条件2 ELSE 表达式2 WHEN 条件3 ELSE 表达式n-1 WHEN 条件 ELSE 表达式;条件信号代入语句执行时要先进行条件判断,如果条件满足,就将条件前面的那个表达式的值代入目的信号;如果不满足条件,就去判断下一个条件;最后一个表达式没有条件,也就是说在前面的条件都不满足时,就将该表达式的值代入目的信号。,下面的例子是用条件信号代入语句来描述的七段显示译码器例:采用条件代入语句描述的七段

    注意事项

    本文(VHDL主要描述语句case语句.ppt)为本站会员(小飞机)主动上传,三一办公仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三一办公(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    备案号:宁ICP备20000045号-2

    经营许可证:宁B2-20210002

    宁公网安备 64010402000987号

    三一办公
    收起
    展开