欢迎来到三一办公! | 帮助中心 三一办公31ppt.com(应用文档模板下载平台)
三一办公
全部分类
  • 办公文档>
  • PPT模板>
  • 建筑/施工/环境>
  • 毕业设计>
  • 工程图纸>
  • 教育教学>
  • 素材源码>
  • 生活休闲>
  • 临时分类>
  • ImageVerifierCode 换一换
    首页 三一办公 > 资源分类 > PPT文档下载  

    MatlabSimulink和EDA仿真工具.ppt

    • 资源ID:6511734       资源大小:1.65MB        全文页数:89页
    • 资源格式: PPT        下载积分:15金币
    快捷下载 游客一键下载
    会员登录下载
    三方登录下载: 微信开放平台登录 QQ登录  
    下载资源需要15金币
    邮箱/手机:
    温馨提示:
    用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP免费专享
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    MatlabSimulink和EDA仿真工具.ppt

    Matlab/Simulink和EDA仿真工具,ModelSim,Links,Mentor Graphics Simulators ModelSim,Cadence HDL simulator Incisive,仿真,功能仿真(前仿真)验证电路功能是否符合设计要求,不考虑电路的门延迟综合后仿真验证电路结构是否符合设计要求,估算电路的门延迟,不考虑布线的延迟布局布线后仿真(时序仿真)验证是否存在时序违规。电路已经映射到具体的工艺环境,综合考虑电路的门延迟和路径延迟,设置断点单步运行查看当前信号值从仿真波形回溯源代码,ModelSim仿真,ModelSim的安装,运行setup,安装程序。选择“Full product”选项。当询问security key的时候,选择 NO。当看见“License Wizard”对话框时候,选择“close”。运行keygen,生成license.dat,把它拷贝到新建的 c:flexlm 目录中。设置环境变量:LM_LICENSE_FILE=c:flexlmlicense.dat(选择“我的电脑”按右键,选“属性”,再选“高级”,可以看到环境变量按钮)运行ModelSim,OK,ModelSim版本,ModelSim AEAltera OEM 版,功能有限,速度较慢。有厂家仿真库ModelSim PEModelSim SE专业版,性能最强。,Altera和ModelSim,ModelSim 的版本ModelSim AE 要有LicenseModelSim AE Free发行速度跟不上SE 版和Quartus 的速度ModelSim SE 不带FPGA/CPLD厂家的仿真库,ModelSim版本,如用于Matlab/Simulink协同仿真选用ModelSim 6.16.3如选用ModelSim AE 可以用 相应ModelSim Se的 License.例如:最新版本的ModelSim AE 6.4 可以使用ModelSim Se 6.4的License,Altera的仿真库,仿真库IP Core 仿真库如果设计中包含了MegaWizard 生成的IP Core 或直接调用了LPM,则必须制定Altera 仿真库器件族仿真库,Altera仿真库,为ModelSim SE添加Altera的仿真库三种方法 从ModelSim AE版中复制Altera的仿真库 1安装ModelSim AE版2在ModelSim AE的安装目录下的Altera目录就是所要的仿真库,Altera仿真库,2 从Altera 官方网站下载预编译的仿真库 http:/,Altera仿真库-从Quartus 中提取,3.从Quartus 中提取改变工作目录到存放仿真库的目录下创建新的库编译库,Altera仿真库-从Quartus 中提取,编译库对新创建的库进行编译选择源文件:Quartus安装目录edasim_lib220pack.vhd altera_mf_components.vhd再选择编译余下的6个文件,Altera仿真库-从Quartus 中提取,还可以再编译其他器件系列的库修改ModelSim 安装目录下的ModelSim.ini文件只读属性为可写,以便记录仿真库的路径及映射关系,ModelSim用户界面,库文件视图,命令控制台,ModelSim 设计加载前的提示符,VSIM 设计加载后的提示符,ModelSim基本仿真步骤,建立库vlib 缺省值是 work工作库映射库到物理目录vmap 例 vmap work work 编译源代码vcom.vhd.vhdvlog.v.v启动仿真器vsim top_entity添加信号到Wave窗add wave/*添加激励,运行仿真force run,在Matlab/Simulink环境中仿真HDL,DSP BuilderSIL(Quartus)用DSP Builder 建模,生成HDL,仿真用DSP Builder将HDL导入,仿真HIL(Quartus+JTAG+实验板)ModelSim利用hdl coder生成的批命令和测试平台,然后用Modelsim进行单独仿真Matlab/Simulink和Modelsim协同仿真,Matlab/Simulink ModelSim仿真,Matlab Link ModelSim,MATLAB 连接HDL仿真器,MATLAB test bench function wraps around and communicates with the HDL simulator during a test bench simulation session.,MATLAB 连接HDL仿真器,连接ModelSim流程例modsimrand.m,Matlab启动 server in shared memory mode:hdldaemon,ModelSim仿真matlabtb modsimrand-mfunc modsimrand_plot-rising/modsimrand/clk-socket portnum,modsimrand_plot.m function iport,tnext=modsimrand_plot(oport,tnow,portinfo),仿真,仿真结果可视化,用ModelSim 仿真例,仿真数据来自ModelSim,由Matlab绘图,MATLAB连接ModelSim流程例,建立连接通道MATLABhdldaemon(socket,端口号)in TCP/IP socket mode端口号,0表示由系统分配可用端口或2 Hdldaemon in shared memory mode,MATLAB连接ModelSim流程例,2 从MATLAB环境启动ModelSim vsim 或 vsim(vsimdir,D:Modeltech_6.1fwin32),ModelSim exe具体安装路径,MATLAB连接ModelSim流程例,3 设置MATLAB当前目录例 cd D:MATLABtoolboxedalinkextensionsmodelsimmodelsimdemos 该目录下有modsimrand_plot.m文件 设置MODELSIM当前目录例 cd D:MATLABtoolboxedalinkextensionsmodelsimmodelsimdemosvhdlmodsimrand 该目录下有VHDL文件,MATLAB连接ModelSim流程例,4 执行ModelSim命令 vlib work 创建工作库vmap work work 映射工作库到物理目录vcom modsimrand 选择一个HDL文件进行编译,MATLAB连接ModelSim流程例,5关联HDL设计与MATLAB函数,执行ModelSim命令 vsimmatlab xxx xxx为设计名例 vsimmatlab modsimrand6加载仿真器,执行vsim命令matlabtb xxx-mfunc yyy-rising zzz-socket 端口号xxx为实例名,yyy为m函数名,zzz为时钟激励信号或 matlabtb xxx-mfunc yyy-rising zzz例:matlabtb modsimrand-mfunc modsimrand_plot-rising/modsimrand/clk,MATLAB连接ModelSim流程例,7执行Vsim命令,输入激励信号,运行仿真。例force/modsimrand/clk 0 0 ns,1 5 ns-repeat 10 nsforce/modsimrand/clk_en 1force/modsimrand/reset 1 0,0 50 nsrun 80000,MATLAB连接ModelSim流程例,在ModelSim仿真过程中,MATLAB 绘制输出信号,MATLAB连接ModelSim流程例,8 重新仿真ModelSim:restart 重复步骤7,输入激励信号,运行仿真。,MATLAB连接ModelSim流程例,9 结束仿真ModelSimquit 退出仿真quit 结束ModelSimMatlab hdldaemon(kill)关闭连接,Simulink Link ModelSim,Simulink 连接 HDL 仿真器,Simulink 与HDL仿真器协同仿真流程,1.ModelSim建库编译,2.Simulink建模使用/配置协同仿真模块,Matlab建立连接,3.ModelSim加载仿真器,4.Simulink运行仿真,使用Simulink 协同仿真模块,Simulink 建模,配置协同仿真模块-端口设置,端口名称与ModelSim信号命名相同,删除不合适的端口对输出端口设采样时间,配置协同仿真模块-端口设置,自动填入端口信息:在modelsim中关联:vsimulink 实体名在simulink 协同仿真模块中删除已有端口,点击 Auto Fill删除不必要的端口,如clk(clk信息应在clocks选项卡中设置),配置协同仿真模块共享内存连接,配置协同仿真模块socket连接,配置协同仿真模块 HDL主时钟端口时钟,端口名称与ModelSim信号命名相同。设置周期,输出端口的采样时间应与此相同。如果使用Simulink仿真时钟,则不需要添加时钟端口,配置协同仿真模块时间比例,时间比例设置有两种方式相对时间Tick真实时间s,时间比例设置-相对时间Tick,时间比例设置-相对时间Tick,求解器设置,模块设置,时间比例设置-相对时间Tick,相对时间仿真 例,48000+2000=50000 tick,其中有5000个clock,时间比例设置-真实时间S,时钟周期=10ns,总的仿真时间=50us,求解器设置,模块设置,时间比例设置-真实时间,10e-9=10ns,50000 ns=50us=50 x10-6 s,其中有5000个clock,真实时间 例,真实时间仿真 例,配置协同仿真模块脚本命令,例1-modsimrand-建模,例1-modsimrand-模块端口,例1-modsimrand-模块时钟端口,例1-modsimrand-模块时钟比例,例1-modsimrand-模块连接,例1-modsimrand-模块脚本,求解器设置,例1-modsimrand-modelsim命令,设置工作目录、建库、编译等按常规执行.启动仿真器:vsimulink work.modsimrand,add wave sim:/modsimrand/*,例1-modsimrand-HDL仿真,例1-modsimrand-simulink仿真,例2-Simulink 建模,Simulink ModelSim 协同仿真流程,Matlab 启动LinkHdldaemon 或hdldaemon(socket,端口号)ModelSim 指定目录、编译等加载仿真器 vsimulink work.inverterSimulink运行仿真,仿真输出,Simulink ModelSim 多个HDL协同仿真,仿真模式,基于采样的仿真在每个采样时刻,进行一次仿真处理基于帧的仿真将多个采样时间的顺序输入排列成为帧(矢量)然后在一个采样时间里进行仿真处理,Simulink ModelSim 协同仿真,基于帧的仿真可以节省仿真时间,也能使仿真行为更精确HDL 协同仿真模块支持处理单通道基于帧的信号,不需要对HDL协同仿真模块做专门的帧处理设置.采用基于采样或基于帧,不影响HDL 协同仿真模块的行为,只影响Simulink,源信号的“sample per frame”属性用于设置帧的尺寸,该属性值为1即是基于采样模式,该属性值为大于1的整数即是基于帧模式,Simulink ModelSim 协同仿真,基于帧的仿真 例frame_filter_cosim.mdl,基于帧的仿真t=clock;sim(gcs);etime(clock,t)ans=1.3610,基于采样的仿真t=clock;sim(gcs);etime(clock,t)ans=1.8000,Simulink ModelSim 协同仿真VCD,VCDvalue change dump(VCD)将协同仿真时的数据转储到文件中,供脱机验证等使用,Simulink ModelSim 协同仿真VCD,ModelSim 将VCD转换格式,ModelSim的vcd2wlf 工具将 VCD 文件转换为WLF 文件例VSim n vcd2wlf x.vcd x.wlf 转换格式VSim n vsim-view x.wlf 加载文件VSim n add wave x:/*显示波形,Simulink ModelSim 协同仿真VCD转波形在ModelSim中显示,Simulink ModelSim 协同仿真自动生成测试平台,流程Simulink 仿真自动生成HDL代码自动生成测试平台启动hdldaemon连接协同仿真,比对结果用Simulink 仿真源模型用HDL仿真器仿真协同仿真模块,Simulink ModelSim 协同仿真测试平台,自动生成协同仿真模型,搭建测试平台,Simulink ModelSim 协同仿真,源模型,仿真测试模型,Simulink ModelSim 协同仿真仿真测试模型,Simulink仿真,HDL仿真,源信号路由两个仿真器使用相同的信号,目标信号路由比对两种仿真结果,批命令按钮,源信号路由,目标信号路由,仿真结果比对,批命令按钮,OpenFcn cd hdlsrc,vsim(tclstart,gm_AirFlowCtrl_mq_tcl),cd.,cd hdlsrc,vsim(rundir,.,vsimdir,D:Modeltech_6.1fwin32,tclstart,gm_AirFlowCtrl_mq_tcl),cd.,更为完整的批命令,指定工作目录。该目录下有源程序、conpile_and_lauch.tcl编译命令及 do命令,指定仿真器路径,仿真结果比对,HDL的输出有1个时钟周期的延迟,用Modelsim单独仿真流程,代码生成生成测试平台启动Modelsim,改变目录到hdlsrc执行测试平台编译批命令执行测试平台仿真批命令,用Modelsim单独仿真 例,生成测试平台,执行测试平台编译批命令,执行测试平台仿真批命令,查看仿真结果,命令窗,wave窗,wave窗,Modelsim仿真的输入激励、仿真时间的长短与Simulink中的输入与仿真时间设置相同,命令窗,

    注意事项

    本文(MatlabSimulink和EDA仿真工具.ppt)为本站会员(牧羊曲112)主动上传,三一办公仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三一办公(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    备案号:宁ICP备20000045号-2

    经营许可证:宁B2-20210002

    宁公网安备 64010402000987号

    三一办公
    收起
    展开