欢迎来到三一办公! | 帮助中心 三一办公31ppt.com(应用文档模板下载平台)
三一办公
全部分类
  • 办公文档>
  • PPT模板>
  • 建筑/施工/环境>
  • 毕业设计>
  • 工程图纸>
  • 教育教学>
  • 素材源码>
  • 生活休闲>
  • 临时分类>
  • ImageVerifierCode 换一换
    首页 三一办公 > 资源分类 > PPT文档下载  

    计算机组成实验.ppt

    • 资源ID:6432948       资源大小:2.34MB        全文页数:53页
    • 资源格式: PPT        下载积分:15金币
    快捷下载 游客一键下载
    会员登录下载
    三方登录下载: 微信开放平台登录 QQ登录  
    下载资源需要15金币
    邮箱/手机:
    温馨提示:
    用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP免费专享
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    计算机组成实验.ppt

    2023/10/30,哈尔滨工程大学 计算机科学与技术学院 计算机专业实验教学中心,1,计算机部件实验课件,教师:张万良郭耸程旭辉付小晶单位:计算机专业实验教学中心,2023/10/30,哈尔滨工程大学 计算机科学与技术学院 计算机专业实验教学中心,2,目录,计算机部件实验课程简介基于FPGA的计算机部件实验FPGA开发环境及EDA实验台,2023/10/30,哈尔滨工程大学 计算机科学与技术学院 计算机专业实验教学中心,3,计算机部件实验课程简介,课程名称及性质:计算机部件实验 必修 课程类别:实践教学环节实验学时:32面向专业:计算机科学与技术开设学期:5开课实验室:可编程逻辑及数字系统,2023/10/30,哈尔滨工程大学 计算机科学与技术学院 计算机专业实验教学中心,4,计算机部件实验课程简介,实验目的和任务:通过讲述FPGA基本理论知识和硬件描述语言(HDL)编程,学生完成计算机基本部件设计训练,使学生了解FPGA设计开发环境以及EDA实验台的功能和使用方法,掌握利用FPGA和HDL设计实现数字电路的方法,具有计算机部件设计能力和FPGA设计开发技能。同时为计算机组成实验打下坚实的基础。,2023/10/30,哈尔滨工程大学 计算机科学与技术学院 计算机专业实验教学中心,5,实验项目及要求,实验一 FPGA开发环境及EDA实验台 实验二 元器件使用与定制 实验三 移位寄存器 实验四 运算器实验(两种方法:框图和硬件描述语言),2023/10/30,哈尔滨工程大学 计算机科学与技术学院 计算机专业实验教学中心,6,实验项目及要求,实验五 存储器实验(ROM、RAM)实验六 时序信号发生器(两种方法:框图和硬件描述语言)实验七 程序计数器与地址寄存器 实验八 总线传输实验,2023/10/30,哈尔滨工程大学 计算机科学与技术学院 计算机专业实验教学中心,7,基于FPGA的计算机部件实验,传统的实验台体积庞大,使用的芯片种类繁多,实验中需要花许多时间进行大量的连线,系统的可靠性低,由于芯片或连线出现的各种故障排查困难。使用大规模FPGA、EDA软件工具和IEEE标准硬件描述语言来设计数字电路已成为当前比较流行的硬件开发技术。利用软件方法设计硬件电路,设计开发灵便,节省资源。,2023/10/30,哈尔滨工程大学 计算机科学与技术学院 计算机专业实验教学中心,8,FPGA简介 FPGA(Field Programmable Array):现场可编程门阵列。FPGA器件的基本结构为门阵列,通过改变内部连线的布线来编程。利用FPGA可方便的设计集成电路,开发数字系统。,2023/10/30,哈尔滨工程大学 计算机科学与技术学院 计算机专业实验教学中心,9,HDL硬件描述语言简介 HDL硬件描述语言,对数字系统建模。利用EDA软件,借助HDL硬件描述语言,可以将待设计系统的逻辑功能、实现该功能的算法、选用的电路结构和逻辑模块,以及系统的各种非逻辑约束输入到计算机,使计算机辅助数字系统设计成为可能,从而大提高了设计效率。有两种HDL被IEEE列为标准,它们是:VHDL 和 Verilog。,2023/10/30,哈尔滨工程大学 计算机科学与技术学院 计算机专业实验教学中心,10,课程要求与注意事项,1、每次实验课前要做好充分的预习和准备。2、按时到课,无故旷课者无成绩,请假必须有辅导员的签字和学院的盖章。3、注意课堂纪律,要认真做实验,课堂上如发现玩游戏、聊天等违纪行为,按情节严重程度进行扣分。4、注意:实验作品要独立完成,“抄袭者”与“被抄袭者”成绩均为零分。以学号后四位结尾。5、鼓励大家提出新设计思想和设计方案。,2023/10/30,哈尔滨工程大学 计算机科学与技术学院 计算机专业实验教学中心,11,课程要求与注意事项,6、实验验收时,讲述要有条理,讲懂过程和原理;演示要全面具体;回答老师提出的问题。7、独立完成报告,不允许出现雷同;要独立组织语言,不允许大段抄袭书本;独立截取波形图及引脚分配图;重点写出排错过程,实验结果分析等。8、注意:发现报告雷同,“抄袭者”与“被抄袭者”成绩均为零分。,2023/10/30,哈尔滨工程大学 计算机科学与技术学院 计算机专业实验教学中心,12,实验一 QUARTUS II开发环境及EDA实验台,实验目的熟悉QUARTUS II开发环境及开发流程掌握QUARTUS II中VHDL文本输入设计方法熟悉FPGA实验台的使用实验内容 在QUARTUS II开发环境中,利用VHDL文本输入方法设计一个2-1选择器。,2023/10/30,哈尔滨工程大学 计算机科学与技术学院 计算机专业实验教学中心,13,QUARTUS II开发环境与EDA实验台简介,2023/10/30,哈尔滨工程大学 计算机科学与技术学院 计算机专业实验教学中心,14,QUARTUS II开发环境与EDA实验台简介,GW48 系列SOPC/EDA实验开发系统,2023/10/30,哈尔滨工程大学 计算机科学与技术学院 计算机专业实验教学中心,15,QUARTUS II开发环境与EDA实验台简介,QUARTUSII开发环境介绍 Altera Quartus II 设计软件提供完整的多平台设计环境,它可以轻易满足特定设计的需要。它是可编程片上系统(SOPC)设计的综合性环境。Quartus II软件拥有 FPGA 和 CPLD 设计的所有阶段的解决方案。Quartus II 设计流程如图4所示。,2023/10/30,哈尔滨工程大学 计算机科学与技术学院 计算机专业实验教学中心,16,QUARTUS II开发环境与EDA实验台简介,基于Quartus II和EDA实验台的实验基本步骤 1、创建工程 文件夹名称及路径,工程名,实体名 2、设计输入文件(框图或HDL文本)3、编译前设置 选择器件 Cyclone EP1C31448,2023/10/30,哈尔滨工程大学 计算机科学与技术学院 计算机专业实验教学中心,17,4、编译 错误(erro)提示,修改设计文件排除错误 忽略警告(warning)5、仿真 建立波形图文件,设置输入信号,QUARTUS II开发环境与EDA实验台简介,2023/10/30,哈尔滨工程大学 计算机科学与技术学院 计算机专业实验教学中心,18,6、引脚锁定、下载与硬件测试 选择模式(9种)引脚锁定需要查表 FPGA 引脚号 引脚名称(EDA实验台No.5)Pin1 PIO0 按键1 Pin2 PIO1 按键2 Pin11 PIO8 显示灯1,QUARTUS II开发环境与EDA实验台简介,2023/10/30,哈尔滨工程大学 计算机科学与技术学院 计算机专业实验教学中心,19,实验二 元器件的使用与定制,实验目的进一步熟悉QUARTUS II开发环境和设计开发流程掌握利用框图设计输入熟悉FPGA实验台的功能和使用方法,2023/10/30,哈尔滨工程大学 计算机科学与技术学院 计算机专业实验教学中心,20,实验二 元器件的使用与定制,实验内容利用Quartus II框图编辑器建立设计输入文件,插入Altera元器件库中的宏功能模块lmp_counter,并对其进行配置。了解lmp_counter的工作原理。利用Quartus II元器件库中的基本单元,设计一个2-4译码器,并生成用户自定义框图符号。修改Quartus II元器件库中的74273寄存器的逻辑功能和外观,生成用户自定义框图符号。利用lmp_counter、2-4译码器和寄存器设计一个简单的逻辑电路,进行软件仿真,并在实验台上验证实验结果。,2023/10/30,哈尔滨工程大学 计算机科学与技术学院 计算机专业实验教学中心,21,逻辑电路图,2023/10/30,哈尔滨工程大学 计算机科学与技术学院 计算机专业实验教学中心,22,实验三 移位寄存器,实验目的1、掌握移位寄存器的功能与原理2、验证移位控制的组合功能。实验内容 设计实现一个具有双向移位功能的移位寄存器,具有并行数据输入/输出功能。,2023/10/30,哈尔滨工程大学 计算机科学与技术学院 计算机专业实验教学中心,23,实验三 移位寄存器,实验原理双向移位寄存器原理图如图3-1所示。CLK为其时钟脉冲。C0为进位标志。由S1.0、M控制移位运算的功能状态。双向移位寄存器的功能如下表所示。,2023/10/30,哈尔滨工程大学 计算机科学与技术学院 计算机专业实验教学中心,24,实验四 运算器实验,实验目的掌握简单运算器的数据传输通路验证运算功能发生器的组合功能掌握算术逻辑运算加、减、与的工作原理熟悉简单运算的数据传送通路验证实验台运算的8位加、减、与、直通功能按给定数据,完成几种指定的算术和逻辑运算实验内容 在Quartus II中,设计一个8位的简单运算器,并验证其功能。,2023/10/30,哈尔滨工程大学 计算机科学与技术学院 计算机专业实验教学中心,25,实验四 运算器实验,实验原理 实验中选用Quartus IIMaxplus2元器件库中的运算器,数据通路如图9所示。其中运算器由两个74181以并/串形式构成8位字长的ALU。,2023/10/30,哈尔滨工程大学 计算机科学与技术学院 计算机专业实验教学中心,26,图9 算术逻辑运算器结构图,2023/10/30,哈尔滨工程大学 计算机科学与技术学院 计算机专业实验教学中心,27,实验四 运算器实验,ALU的运算功能,表1 74181正逻辑功能表,2023/10/30,哈尔滨工程大学 计算机科学与技术学院 计算机专业实验教学中心,28,实验四 运算器实验,引脚锁定注意事项 实验台上键9键12对应的引脚号不能通过查表得到,要使用按键9,必须用导线将实验台上的Key9引脚(位于JP8,6键接插口处)直接与FPGA适配板上的空余引脚相连。如P26。即将引脚26分配给信号T1。验证运算器的算术运算和逻辑运算功能 表2列出了8种常用的算术与逻辑运算要求指定的操作内容,正确选择运算器数据通路、控制参数S3、S2、S1、S0、M,并将实验结果值填入括号内,表中给定原始数据DR1=A7.0和DR2=B7.0,以后的数据取自前面运算的结果。,2023/10/30,哈尔滨工程大学 计算机科学与技术学院 计算机专业实验教学中心,29,实验四 运算器实验,表2 常用的算术与逻辑运算,2023/10/30,哈尔滨工程大学 计算机科学与技术学院 计算机专业实验教学中心,30,实验五 存储器实验实验1 lpm_rom(ROM)实验,实验目的掌握利用lpm_rom在FPGA中实现ROM的方法掌握lpm_rom的工作特性,2023/10/30,哈尔滨工程大学 计算机科学与技术学院 计算机专业实验教学中心,31,实验五 存储器实验实验1 lpm_rom(ROM)实验,实验原理 ALTERA的FPGA中有许多可调用的LPM(Library Parameterized Modules)参数化的模块库,可构成如lpm_rom、lpm_ram_io、lpm_fifo、lpm_ram_dq的存储器结构。在Quartus II中,可以可直接调用这些嵌入式阵列块EAB在FPGA中构成存储器。lpm_rom用来构成CPU中的重要部件只读存储器。lpm_rom的结构如图10所示。,2023/10/30,哈尔滨工程大学 计算机科学与技术学院 计算机专业实验教学中心,32,实验五 存储器实验实验1 lpm_rom(ROM)实验,lpm_rom有3组信号:clock输入时钟脉冲;q23.0lpm_rom的24位数据输出端;address5.0lpm_rom的6位读出地址。ROM是只读存储器,所以它的数据口是单向输出端口。,图10 lpm_rom的结构图,2023/10/30,哈尔滨工程大学 计算机科学与技术学院 计算机专业实验教学中心,33,实验五 存储器实验实验1 lpm_rom(ROM)实验,ROM初始化数据设置 ROM中的数据是在对FPGA现场配置时,通过配置文件一起写入存储单元的。在Quartus II中,初始化数据文件格式有2种:(1)Memory Initialization File(.mif)(2)Hexadecimal(Intel-Format)File(.hex)建立mif/hex文件,通过表格形式输入ROM初始化数据,保存文件。在设置lpm_rom时指定ROM初始化数据文件的路径即可完成ROM初始化数据设置。,2023/10/30,哈尔滨工程大学 计算机科学与技术学院 计算机专业实验教学中心,34,实验五 存储器实验实验1 lpm_rom(ROM)实验,思考题 通过设置ROM的参数,将ROM分别设置成“输出端口不寄存”和“输出端口寄存”两种类型的存储器,通过仿真,观察RAM 的工作特性,二者有何不同?,2023/10/30,哈尔滨工程大学 计算机科学与技术学院 计算机专业实验教学中心,35,实验五 存储器实验实验2 lpm_ram_dq(RAM)实验,实验目的掌握利用lpm_ram_dq在FPGA中实现RAM的方法掌握lpm_ram_dq的工作特性实验原理 在FPGA中利用嵌入式阵列块EAB可以构成存储器,lpm_ram_dq 是参数化模块库LPM中的一种。lpm_ram_dq的结构如图11所示。,2023/10/30,哈尔滨工程大学 计算机科学与技术学院 计算机专业实验教学中心,36,实验五 存储器实验实验2 lpm_ram_dq(RAM)实验,lpm_ram_dq有5组信号:data7.08位数据输入端;wren读/写控制端,高电平进行写操作,低电平进行读操作;address7.0 读出和写入地址。clock读/写时钟脉冲;q7.0 lpm_rom的8位数据输出端。,图11 lpm_ram_dq的结构图,2023/10/30,哈尔滨工程大学 计算机科学与技术学院 计算机专业实验教学中心,37,实验三 存储器实验实验2 lpm_ram_dq(RAM)实验,图12 lpm_ram_dq实验电路,2023/10/30,哈尔滨工程大学 计算机科学与技术学院 计算机专业实验教学中心,38,实验五 存储器实验实验2 lpm_ram_dq(RAM)实验,思考题 通过设置RAM的参数,将RAM分别设置成“输出端口不寄存”和“输出端口寄存”两种类型的存储器,通过仿真,观察RAM 的工作特性,二者有何不同?,2023/10/30,哈尔滨工程大学 计算机科学与技术学院 计算机专业实验教学中心,39,实验六 时序信号发生器,实验目的掌握节拍脉冲发生器的设计方法和工作原理理解节拍脉冲发生器的工作原理实验原理 计算机之所以能够按照人们事先规定的顺序进行一系列的操作或运算,就是因为它的控制部分能够按一定的先后顺序正确地发出一系列相应的控制信号。这就要求计算机必须有时序电路。控制信号就是根据时序信号产生的。本实验说明时序电路中节拍脉冲发生器的工作原理。时序电路由4个D触发器组成,可产生4个等间隔的时序信号T1T4。使机器进入连续运行状态(EXEC)。,2023/10/30,哈尔滨工程大学 计算机科学与技术学院 计算机专业实验教学中心,40,实验六 时序信号发生器,单步/连续运行电路工作原理 单步/连续运行的工作原理如图13所示,S021MUX的2选1控制端。当S0=0时,Y=A,单步方式;当S0=1时,Y=B,连续方式。CLK1时钟输入信号,可选择实验台上clock0为1Hz2MHz。RST复位控制信号,低电平有效。,图13 单步/连续运行电路工作原理,2023/10/30,哈尔滨工程大学 计算机科学与技术学院 计算机专业实验教学中心,41,实验六 时序信号发生器,单步/连续运行电路工作波形 工作波形如图14所示。在单步方式下,每当RST由低电平转为高电平时,输出一组T1、T2、T3、T4节拍信号。在连续方式下,当RST由低电平转为高电平时,连续输出周期性T1、T2、T3、T4节拍信号。,图14 单步/连续运行电路工作波形,2023/10/30,哈尔滨工程大学 计算机科学与技术学院 计算机专业实验教学中心,42,实验七 程序计数器与地址寄存器,实验目的掌握程序计数器的两种工作方式,加1计数和重装计数器初值的实现方法掌握地址寄存器从程序计数器获得数据和从内部总线获得数据的实现方法,2023/10/30,哈尔滨工程大学 计算机科学与技术学院 计算机专业实验教学中心,43,实验七 程序计数器与地址寄存器,实验原理 地址单元主要由三部分组成:程序计数器、地址寄存器和多路开关。程序计数器PC用以指出下条指令在主存中的存放地址,CPU正是根据PC的内容去主存取得指令的,因程序中指令是顺序执行的,所以PC有自增功能。程序计数器提供下一条程序指令的地址,在T4时钟脉冲的作用下具有自动加1的功能;在LOAD信号的作用下可以预置计数器的初值,当LOAD为高电平时,计数器装入data 端输入的数据。CLR是计数器的清0端,高电平有效,使计数器清零;CLR为低电平时,允许计数器正常计数。,2023/10/30,哈尔滨工程大学 计算机科学与技术学院 计算机专业实验教学中心,44,实验四 微控制器实验实验2 程序计数器PC与地址寄存器AR实验,程序计数器与地址寄存器原理图,2023/10/30,哈尔滨工程大学 计算机科学与技术学院 计算机专业实验教学中心,45,实验七 程序计数器与地址寄存器,地址寄存器AR(74273)锁存访问内存SRAM的地址,地址来自两个渠道。一是程序计数器PC的输出,通常是下一条指令的地址;二是来自于内部数据总线的数据,通常是被访问操作数的地址。为了实现对两路输入数据的切换,在FPGA的内部通过总线多路开关BUSMUX进行选择。PC_B与选择控制端sel相连接,当PC_B为低电平,即选择控制端sel为“0”时,选择程序计数器的输出;当PC_B为高电平时,即选择控制端sel为“1”时,选择内部数据总线的数据。,2023/10/30,哈尔滨工程大学 计算机科学与技术学院 计算机专业实验教学中心,46,实验八 总线控制实验,实验目的理解总线的概念及特性掌握总线传输控制特性,2023/10/30,哈尔滨工程大学 计算机科学与技术学院 计算机专业实验教学中心,47,实验八 总线控制实验,实验原理 1、总线概念 总线是多个系统部件之间进行数据传输的公共通路,是构成计算机系统的骨架。借助总线连接,计算机在系统各部件之间实现传送地址、数据和控制信息的操作。所谓总线就是指能为多个功能部件服务的一组公用信息线。,总线实验传输框图,2023/10/30,哈尔滨工程大学 计算机科学与技术学院 计算机专业实验教学中心,48,实验八 总线控制实验,2、总线工作原理 实验所用总线实验传输框图如图所示。它将几种不同的设备挂在总线上,有存储器、输入设备、输出设备、寄存器。这些设备在传统的系统中需要有三态输出控制,然而在FPGA的内部没有三态输出控制结构,因此必须采用总线输出多路开关结构加以控制。按照传输要求恰当有序地控制它们,使每一时刻只有一个部件使用总线,实现总线信息传输。,2023/10/30,哈尔滨工程大学 计算机科学与技术学院 计算机专业实验教学中心,49,实验五 总线控制实验,总线控制实验线路图,2023/10/30,哈尔滨工程大学 计算机科学与技术学院 计算机专业实验教学中心,50,实验八 总线控制实验,实验内容 根据挂在总线上的几个基本部件,设计一个简单的流程。1、输入设备将数据打入寄存器R0。2、输入设备将另一个数据打入地址寄存器AR。3、将寄存器R0中的数据写到当前地址的存储器中。4、将当前地址的存储器中的数用数码管LED显示。,2023/10/30,哈尔滨工程大学 计算机科学与技术学院 计算机专业实验教学中心,51,实验八 总线控制实验,实验结果验证步骤,总线功能验证具体操作,2023/10/30,哈尔滨工程大学 计算机科学与技术学院 计算机专业实验教学中心,52,实验八 总线控制实验,思考题 1、如何向RAM输入多个数据,并在输出设备上显示这些数据?2、如何实现下表所示的总线信息传输功能?,总线信息传输功能,2023/10/30,哈尔滨工程大学 计算机科学与技术学院 计算机专业实验教学中心,53,结束!祝同学们学习进步!谢谢!,

    注意事项

    本文(计算机组成实验.ppt)为本站会员(牧羊曲112)主动上传,三一办公仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三一办公(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    备案号:宁ICP备20000045号-2

    经营许可证:宁B2-20210002

    宁公网安备 64010402000987号

    三一办公
    收起
    展开