欢迎来到三一办公! | 帮助中心 三一办公31ppt.com(应用文档模板下载平台)
三一办公
全部分类
  • 办公文档>
  • PPT模板>
  • 建筑/施工/环境>
  • 毕业设计>
  • 工程图纸>
  • 教育教学>
  • 素材源码>
  • 生活休闲>
  • 临时分类>
  • ImageVerifierCode 换一换
    首页 三一办公 > 资源分类 > PPT文档下载  

    微机原理与接口技术课件:08模数转换器ad.ppt

    • 资源ID:6047436       资源大小:265.50KB        全文页数:29页
    • 资源格式: PPT        下载积分:15金币
    快捷下载 游客一键下载
    会员登录下载
    三方登录下载: 微信开放平台登录 QQ登录  
    下载资源需要15金币
    邮箱/手机:
    温馨提示:
    用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP免费专享
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    微机原理与接口技术课件:08模数转换器ad.ppt

    08 模数转换器ADC0809,主要内容 1 模数转换的基本概念 2 ADC0809外部引脚 3 ADC0809内部结构 4 ADC0809的连线 5 ADC0809的程序流程 6 ADC0809的应用,1 模数转换的基本概念,1.1 模拟量接口基本概念(1)模拟量:即连续量,时间连续,数值连续,如工业生产过程中的温度、压力、流量、物位、气体成分、速度等参数。(2)数字量:离散量,微型计算机能接收和处理的0和1的数字脉冲量。(3)模/数转换:即A/D转换,将模拟量转换成数字量的过程。(4)数/模转换:即D/A转换,将数字量转换成模拟量的过程。,1.2 A/D转换方法(1)并行比较A/D转换(多级参考电平)(2)计数式A/D转换(计数器、DA、比较)(3)双积分式A/D转换(VTC)(4)逐次逼近式A/D转换 逐次逼近式A/D转换:转换速度快,转换精度高,抗干扰性好,是目前广泛应用的812位ADC的主流产品。,1.3 逐次逼近A/D转换器工作原理,提高计数A/D转换速率:折半查找,1.4 主要技术指标(1)分辨率 分辨率是指A/D转换器能分辨的最小模拟输入电压值,常用可转换成的数字量的位数来表示。(例如:8位、10位、12位等)=Vmax/(2n-1)其中:n 是可转换成的数字量的位数。位数越高,分辨率也越高。例:某8位ADC的满量程电压为5V,则其 分辨率为:5V/255=19.5mV 物理量为速率0-300m/s,则分辨率为1.17m/s。,(2)转换时间 转换时间反映了A/D转换的速度。转换时间是完成一次转换所需要的时间。(3)量程(常需调整)量程是指能进行转换的输入电压的最大范围。输入动态电压范围:0V5V(4)绝对精度 绝对精度是指ADC输出端产生一个给定的数字量时,ADC输入端的实际模拟量输入值与理论值之差,把这个差值的最大值定义为绝对精度。,(5)相对精度 相对精度是指ADC输出端产生一个给定的数字量时,ADC输入端实际模拟量输入值与理论值之差与满量程值之比,一般用百分数来表示。(6)线性度 模拟量变化时A/D转换器输出的数字量按比例变化的程度。,2 ADC0809引脚,2.1 ADC0809芯片特点 ADC0809是CMOS逐次逼近式8位A/D转换器。(1)8通道(8路)模拟量输入(2)8位字长数字量输出(3)模拟输入电压范围为0V+5V,不需零点和满刻度校准。(4)转换时间100s(5)低功耗,约15mW(6)时钟频率:典型值500kHz(范围为10 kHz1280 kHz)。,2.2 ADC0809引脚功能(1)D7D0:8位数字量输出(平时高阻)(2)IN0IN7:8路模拟输入(3)ADDA、ADDB、ADDC:多路开关地址选择线,用于选择模拟通道。(4)ALE:通道地址锁存输入(5)START:启动转换(6)EOC:A/D转换结束(中断请求)(7)OE:数字量输出允许(8)CLOCK:时钟输入(10KHz1.2MHz)(9)VREF(+)、VREF(-):参考电压输入端(10)VCC、GND:+5V、0V,2 0809外部引脚,2.3 ADDAADDC与IN0IN7的关系,3 ADC0809内部结构,3.1 0809内部结构,3 0809内部结构,3.1 0809内部组成(1)8路模拟开关:当地址锁存信号ALE有效时,3位地址ADDC、ADDB、ADDA进入地址锁存器,经译码后使8路模拟开关选通某一路信号。(2)8位A/D转换器:由电阻分压器、树状模拟开关(这两部分组成一个D/A变换器)、电压比较器、逐次逼近寄存器、逻辑控制和定时电路组成。(3)三态门输出锁存器:用来保存A/D转换结果,当输出允许信号OE有效时,打开三态门,输出A/D转换结果。,3 0809内部结构,3.3 ADC0809工作过程(1)首先确定ADDA、ADDB、ADDC三位地址,决定选择哪一路模拟信号。(2)使ALE端接收一正脉冲信号,使该路模拟信号经选择开关达到比较器的输入端。(3)使START端接收一正脉冲信号,START的上升沿将逐次逼近寄存器复位,下降沿启动A/D转换。(4)EOC输出信号变低,表示正在进行转换。(5)A/D转换结束,EOC变为高电平,表示A/D转换结束。此时,数据已保存到8位锁存器中。(6)OE信号变为高电平,则8位三态锁存缓冲器的三态门被打开,转换好的8位数据输出到数据线上。,3 0809内部结构,3.2 0809工作时序,4 ADC0809的连线,4.1 ADC0809芯片与系统的连接 模拟输入端Ini:,单路输入,ADDCADDBADDA,IN4,ADC0809,输入,多路输入,ADDCADDBADDA,IN0IN1IN2IN3IN4,ADC0809,输入0输入1输入2输入3输入4,CPU指定通道号,+5V,4.2 通道地址线ADDA-ADDC的连接,ADDCADDBADDA,IN0IN1IN2IN3IN4,ADC0809,输入,DB,74LS273,Q2Q1Q0,CP,来自I/O译码,D0-D7,并行接口74LS273占用一个I/O地址,4 ADC0809的连线,4 ADC0809的连线,4.3 数据输出线D0-D7的连接,D0-D7,ADC0809,DB,OE,来自I/O译码,D0-D7,ADC0809,DB,OE,来自I/O译码,直接连DB,通过输入接口连DB,74LS244,+5V,DI,DO,E1#E2#,4 ADC0809的连线,4.4 ALE和START端的连接,ADC0809,ALESTART,独立连接,来自I/O译码1,来自I/O译码2,ADC0809,ALESTART,统一连接,来自I/O译码,5 ADC0809程序流程,5.1 ADC0809数据采集程序流程,初始化,送通道地址,送ALE信号,送START信号,读EOC状态,送读允许OE信号,EOC=1?,读转换结果,采集结束否?,N,Y,结 束,Y,送下一路通道地址,(1),(1),N,20,5 ADC0809程序流程,5.2 ADC0809与系统的连接实例,D0,IN0,A15-A0IORIOW,D7-D0,D7-D0EOCOESTARTALEADDCADDBADDA,译码器,ADC0809,5 ADC0809程序流程,5.3 判断转换结束的方法(1)软件延时等待(比如延时1ms)此时不用EOC信号-CPU效率最低(2)软件查询EOC状态(3)把EOC作为中断申请信号 在中断服务程序中读入转换结果,效率高,5 ADC0809程序流程,5.4 判断转换结束的程序(1)用延时等待的方法 MOV DX,start_portOUT DX,AL;启动转换CALL DELAY_1MS;延时1msMOV DX,oe_portIN AL,DX;读入结果,5 ADC0809程序流程,5.4 判断转换结束的程序(2)用查询EOC状态的方法 MOV DX,start_port OUT DX,AL;启动转换 LL:MOV DX,eoc_port IN AL,DX AND AL,01H;测试EOC状态JZ LL MOV DX,oe_portIN AL,DX;读入结果,6 0809编程应用,例1:如下图所示,ADC0809的片选接至译码处200H207H,ADC0809的工作时钟为1MHz。模拟电压信号从通路0输入,进行一次A/D转换,转换好的数字量分别存入BL寄存器,请编写实现这些功能的程序。,6 0809编程应用,解:程序如下所示START:MOV AL,0 MOV DX,200H;模拟输入通路IN0的端口地址 OUT DX,AL;启动A/D转换(ALE、START有效)MOV CX,40H LOOP$;延时100us IN AL,DX;将A/D转换的结果读入AL(OE有效)MOV BL,AL;结果存入BL,6 0809编程应用,例2:如下图所示,ADC0809的片选接至译码处200H207H,请编写程序实现对8路模拟输入电压量的轮询输入,并把转换结果存入DI指向的存储缓冲区BUF。,6 0809编程应用,解:程序如下所示 LEA DI,BUF;DI指向A/D转换结果的存储缓冲区 MOV CL,8 MOV DX,200H;模拟输入通路0的端口地址 LOP:OUT DX,AL;启动A/D转换 CALL DELAY;调用延时子程序,延时约150 s,等待A/D转换完成 IN AL,DX;将A/D转换的结果读入AL MOV DI,AL;结果存入DI指向的缓冲区 INC DI;DI指向缓冲区下一个单元 INC DX;DX为下一个模拟输入通路的端口地址 DEC CL JNZ LOP,6 0809编程应用,例3:电路如下图所示,采用延时方式,编写一段轮流从IN0IN7采集8路模拟信号,并把采集到的数字量存入2000开始的8个单元内的程序。,6 0809编程应用,解:程序如下所示START:MOV DI,2000H;设置存放数据的首址 MOV BL,08H;采集8次计数器 MOV AH,00H;选0通道 BG:MOV AL,AH MOV DX,200H;设置ADC0809芯片地址 OUT DX,AL;使ALE、START有效 MOVCX,0050H;延时约150 s WAIT:LOOPWAIT;延时,等待A/D转换 INAL,DX;使OE有效,输入数据 MOVDI,AL;保存数据 INC AH;换下一个模拟通道 INC DI;修改数据区指针 DEC BL JNZ BG,

    注意事项

    本文(微机原理与接口技术课件:08模数转换器ad.ppt)为本站会员(小飞机)主动上传,三一办公仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三一办公(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    备案号:宁ICP备20000045号-2

    经营许可证:宁B2-20210002

    宁公网安备 64010402000987号

    三一办公
    收起
    展开