欢迎来到三一办公! | 帮助中心 三一办公31ppt.com(应用文档模板下载平台)
三一办公
全部分类
  • 办公文档>
  • PPT模板>
  • 建筑/施工/环境>
  • 毕业设计>
  • 工程图纸>
  • 教育教学>
  • 素材源码>
  • 生活休闲>
  • 临时分类>
  • ImageVerifierCode 换一换
    首页 三一办公 > 资源分类 > PPT文档下载  

    移位寄存器序列信号发生器.ppt

    • 资源ID:5808428       资源大小:514KB        全文页数:19页
    • 资源格式: PPT        下载积分:15金币
    快捷下载 游客一键下载
    会员登录下载
    三方登录下载: 微信开放平台登录 QQ登录  
    下载资源需要15金币
    邮箱/手机:
    温馨提示:
    用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP免费专享
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    移位寄存器序列信号发生器.ppt

    寄存器移位寄存器反馈移位寄存器序列信号发生器,寄存器,定义:共时钟的若干个触发器构成寄存器,常见的触发器和所存器,寄存器2,74X175 4位寄存器,移位寄存器,移位寄存器:具有移位特性的寄存器,并行输出,并行输入,移位寄存器应用类型,串入串出,串入并出,并入串出,并入并出,移位寄存器芯片举例,74X194介绍,功能介绍:,1、CLK:时钟,194为同步时序逻辑器件;,2、CLR:同步清零控制;低电平有效;,3、s1s0为功能控制操作,具体如下:,4、器件左移时,串行输入为LIN,串行输出为QA;器件右移时,串行输入为RIN,串行输出为QD,74X194,双向并入并出移位寄存器,5、s1s0通过构成多路复用器来实现功能变换,移位寄存器的扩展,Ex1:用194实现3位移位寄存器,0,1,串入,串出,Ex2:用194实现8位移位寄存器,串入,串出,用移位寄存器实现数据检测器,Ex3:请用194实现“1011”数据检测器,步骤:,1、待检测序列长度为4,所以选择4位移位寄存器;,2、将待检测序列从状态输出中解读出来;(组合逻辑),X为待检测序列,将之接在串行输入端;状态输出(并行输出)即近几个时钟脉冲内串行输入的值;,F,选择左移,反馈移位寄存器,串入端输入为反馈函数F的移位寄存器,叫做反馈移位寄存器;,反馈函数为移位寄存器并行输出(状态输出)的函数;,反馈移位寄存器可以实现一些具有移位特性的状态机;,用反馈移位寄存器实现环行计数器,ex4:用194实现3位环行计数器,首先看环行计数器的状态机,是不是具有移位特性,100的次态,可以做如下的分析010:0由反馈函数而得来;10由环行计数器状态机移位特性而得来,该状态机的移位方向为Q2Q1Q0;其中D2为串行输入端;而Q0为串行输出端,然后选择3位的移位寄存器,并求其反馈函数:反馈函数的输出值其实就是次态串行输入值;,F=0,F=0,F=1,然后通过卡诺图求反馈函数表达式;,F=Q0,然后实现;,串入,串出,用反馈移位寄存器实现扭环计数器,ex5:用移位寄存器实现3位扭环计数器(最小成本设计),1、选用3位移位寄存器,2、求反馈函数,根据移位特性可知:Q0端为串入;Q2为串出端;,某个状态的反馈函数的输出就等于其次态串入的值;,F=1,F=1,F=1,F=0,F=0,F=0,F=Q2,电路图在下页,最小成本设计把未用状态的反馈函数看成任意项;最小风险设计要对未用状态的反馈函数进行讨论,用反馈移位寄存器实现扭环计数器续,最小成本设计3位扭环计数器,ex6:用移位寄存器实现3位扭环计数器(最小风险设计),最小风险设计,要对未用状态进行讨论;讨论的原则为,在不改变移位特性的基础上,对位用状态的次态进行讨论,F=1,F=1,F=1,F=0,F=0,F=0,两个未用状态,101的次态应为01d;其中01由移位特性所决定;d为反馈函数所决定;选择d为1,这样101次态为011,同理可设010的次态为101,这样既不改变移位特性,也使风险消除,101,010,F=1,F=1,用反馈移位寄存器实现扭环计数器续,接上页,求反馈函数,F=Q2+Q1Q0=(Q2(Q1Q0),最小风险设计的3位扭环计数器,用移位寄存器实现序列信号发生器,序列信号发生器:能够产生一个串行序列的状态机,Ex7:请用移位寄存器设计一个序列发生器,能够输出串行序列“101110”;(最小成本设计),实现方法1:移位寄存器实现,实现方法2:计数器+组合逻辑,步骤一:通过移位特性构建状态图(要求状态图中不能出现重复状态);,如果选则两位:,10,01,11,11,10,有重复状态,舍弃,如果选则三位:,101,011,111,110,101,010,有重复状态,舍弃,如果选则四位:,1011,0111,1110,1101,1010,0101,没有重复状态,选用该状态机,转下页,用移位寄存器实现序列信号发生器续,步骤二:上面状态图存在移位特性,根据其移位特性求其反馈函数;,Q3Q2Q1Q0,Q3为串出;Q0为串入;反馈函数的输出即次态的串入,F=1,F=0,F=1,F=0,F=1,F=1,F,F=Q3Q1+Q3Q1,电路在下页;,请同学们完成最小风险设计!,用移位寄存器实现序列信号发生器续,最小成本设计的“101110”序列发生器,用计数器实现序列信号发生器,Ex8:请用计数器163和多路复用器151设计一个序列发生器,能够输出串行序列“101110”;,步骤一:将163变成模为6的计数器;,步骤二:一个时钟(状态输出)对应一位的序列发生,通过组合逻辑完成这个转换;,详情请参照计数器课件,CP,CP,F,

    注意事项

    本文(移位寄存器序列信号发生器.ppt)为本站会员(牧羊曲112)主动上传,三一办公仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三一办公(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    备案号:宁ICP备20000045号-2

    经营许可证:宁B2-20210002

    宁公网安备 64010402000987号

    三一办公
    收起
    展开