欢迎来到三一办公! | 帮助中心 三一办公31ppt.com(应用文档模板下载平台)
三一办公
全部分类
  • 办公文档>
  • PPT模板>
  • 建筑/施工/环境>
  • 毕业设计>
  • 工程图纸>
  • 教育教学>
  • 素材源码>
  • 生活休闲>
  • 临时分类>
  • ImageVerifierCode 换一换
    首页 三一办公 > 资源分类 > PPT文档下载  

    《浙大微电子》PPT课件.ppt

    • 资源ID:5547151       资源大小:2.07MB        全文页数:91页
    • 资源格式: PPT        下载积分:15金币
    快捷下载 游客一键下载
    会员登录下载
    三方登录下载: 微信开放平台登录 QQ登录  
    下载资源需要15金币
    邮箱/手机:
    温馨提示:
    用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP免费专享
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    《浙大微电子》PPT课件.ppt

    浙大微电子,基准源、噪声、开关电容及Monte Carlo仿真,浙江大学微电子与光电子研究所 2013年11月5日,2023/7/19,1/91,浙大微电子,大纲,电压基准源设计集成电路噪声分析及仿真 开关电容电路理论、设计举例及仿真Monte Carlo仿真,2023/7/19,2/91,浙大微电子,电压基准源设计,2023/7/19,3/91,浙大微电子,常见电压基准源,带隙基准源 特点:静态电流较小,输出电压精度 较高,不需要外部电阻 举例:MAX6034 齐纳基准源 特点:输出电压和功率范围大,静态 电流较大,输出电压精度较低,需要外部电阻 举例:MAX6138,2023/7/19,4/91,浙大微电子,电压基准源对比,2023/7/19,5/91,浙大微电子,带隙基准源原理,平衡VBE的负温度系数和Vt=kT/q的正温度系数,2023/7/19,6/91,浙大微电子,如何实现Vt=kT/q?,通过改变PNP发射区面积,2023/7/19,7/91,浙大微电子,带隙基准源的两种经典实现方式,电流镜方式 放大器反馈方式,2023/7/19,8/91,浙大微电子,输出驱动,驱动能力要求 仅仅是基准源核心电路没有足够的能力驱动外部大的负载,需要加buffer;基准源的驱动能力取决于buffer的驱动能力放大器的频率补偿 为了在外部负载比较大的情况下,保证运放稳定;设计时,必须做交流仿真,以保证频率稳定。,提供基准电流,2023/7/19,9/91,浙大微电子,Cascade结构,Cascade MOS M1M8保证Q1、Q2电流完全相同,且M1和M2源端电位近似相等,原理实现,2023/7/19,10/91,浙大微电子,基准源噪声,带隙基准源的输出噪声会显著影响低噪声电路的性能。例如,基准噪声大幅度削减高精度ADC性能;降噪措施:1、通过减少电路元器件个数,和使用阻值较小的电阻,可以减少噪声;2、采用1/f噪声较小的PMOS管;3、增大MOS面积也可减少1/f噪声。,基准源噪声仿真,2023/7/19,11/91,浙大微电子,基准源仿真,在基准源中引入误差放大器,提高输出电压精度,电路示例,2023/7/19,12/91,浙大微电子,基准源说明,Q3和Q4的面积为Q1、Q2的n倍,采用层叠三极管能够使X点电压提高为2VEB1,降低误差放大器失调电压的影响。X点电压与Y点电压相等,Q1、Q2、Q3、Q4的偏置电流相等,2023/7/19,13/91,浙大微电子,温度稳定性仿真,Temperature coefficient 定义,单位是ppm/,三极管面积比例n=36,电阻比例R3/R4=2.87(R3=86K,R4=30K),选择dctemperature扫描,得到输出基准电压随温度变化的曲线,2023/7/19,14/91,浙大微电子,电阻取值的优化,使用“Parametric”分析来优化电阻值 1、设置变量 2、在“Parametric”分析窗口设置扫描变量和扫描方式 3、运行“Parametric”分析,得到结果如右图所示 4、缩小扫描范围,对电阻取值进一步优化,2023/7/19,15/91,浙大微电子,利用“Calculator”分析仿真结果,利用“Calculator”工具写入“TC”的表达式 TC=(ymax(VS(“/VREF”)-ymin(VS(“/VREF”)/(average(VS(“/VREF”)*125)*1000000 1、手动输入 2、采用“Calculator”RPN模式输入,2023/7/19,16/91,浙大微电子,利用“Calculator”分析仿真结果,在ADE界面“Outputs-Setup”中打开Setting Outputs窗口,在“Name”栏填入输出变量名“TC”,点击“Get Expression”将“Calculator”中的表达式导入“Expression”栏,重新采用“Parametric”分析对电路进行仿真,Candence会根据所填入的表达式计算基准源的温度系数,并得到不同电阻值下温度系数的变化曲线图,2023/7/19,17/91,浙大微电子,利用“Calculator”分析仿真结果,2023/7/19,18/91,浙大微电子,利用“Optimizer”进一步优化,“Optimizer”是一种通过自动调整设计变量,从而达到设计指标的工具。1、在ADE界面“Tools-Optimization”,打开“Analog Circuit Optimization”窗口;在该窗口的“Goals”下拉菜单选择“Add”命令,如下图所示 Name栏填入“TC”Expression填入计算“TC”的 表达式 Direction选择“minimize”Target填入“5”Acceptable填入“15”,2023/7/19,19/91,浙大微电子,利用“Optimizer”进一步优化,2、在“Analog Circuit Optimizer”窗口的“Variables”下拉菜单中选择“Add/Edit.”命令,如下图所示“Name”栏中选择变量“res”“Initial Value”填入“12k”“Minimum Value”填入“10k”“Maximum Value”填入“15k”,2023/7/19,20/91,浙大微电子,利用“Optimizer”进一步优化,3、在“Analog Circuit Optimizer”窗口的“Session”下拉菜单中选择“Options.”命令,如下图所示 在“Algorithm Selection”栏选择“LSQ”优化算法4、选择“Optimizer”下拉菜单中的“Run”命令,开始优化,2023/7/19,21/91,浙大微电子,利用“Optimizer”进一步优化,2023/7/19,22/91,浙大微电子,Corner Analysis,1、在ADE界面“Tools”下拉菜单下选择“Corner.”,进入“Analog Corners Aanalysis”窗口,2023/7/19,23/91,浙大微电子,Corner Analysis,2、工艺配置。在菜单中选择“Setup-Add Process”,进入Add Process窗口。“Process Name”栏中加入新工艺的名字“Model Style”栏中选择工艺模式“Base Directory”和“Model File”栏中分别填入Model所在的目录及其名称 选择“OK”,SMIC工艺 设置成功,2023/7/19,24/91,浙大微电子,Corner Analysis,3、添加工艺角组。在菜单中选择“Setup-Add/Update Model Info”,进入Update Process/Model Info窗口的Groups/Variants选项卡。“Groups Names”栏中加入工艺角的名称,例如:RES“Variants”栏加入一组工艺角,例如:res_tt、res_ff等等,中间用空格隔开 点击“Apply”,继续添加下一 个工艺角,2023/7/19,25/91,浙大微电子,Corner Analysis,4、设定需要仿真的工艺角。在主界面的“Corner Definitions”栏中进行设置,其中“Add Corner”添加新的工艺角,“Add Variable”添加新的设计变量。,2023/7/19,26/91,浙大微电子,Corner Analysis,5、设置输出。在主界面的“Performance Measurements”栏中进行输出设置。“Add Measurement”设置待测变量名称“Expression”栏中输入待测变量的表达式,可借助“Calculator”工具;选中“plot”,待测变量将以图形形式输出。,2023/7/19,27/91,浙大微电子,Corner Analysis,6、运行及输出。在菜单“Simulation-Run”运行分析并输出仿真结果。,2023/7/19,28/91,浙大微电子,Corner Analysis,7、保存和调用设置。在菜单中选择“File-Save Setup as”,保存为reference_pvt文件。若需调用已有设置,选择“File-Load.”将上述文件调用出 来即可,2023/7/19,29/91,浙大微电子,最低工作电压扫描,选择dc电源电压扫描,可找到基准源的最低工作电压,1.8V最低工作电压,2023/7/19,30/91,频率稳定性仿真 stb仿真,第一步:在电路反馈干路上,添加一个电压为0V的电压源,作为“Probe Instance”;两个环路,一个是正反馈环路,一个是负反馈环路。,浙大微电子,2023/7/19,31/91,stb仿真,第二步:打开Cadence的“Analog Simulation Environment”界面,选择”stb”仿真,选择Probe Instance,浙大微电子,2023/7/19,32/91,stb仿真,第三步:查看仿真结果。在跳出的文本界面中,会直接给出关键环路的相位裕度Phase margin=68.4937 Deg at frequency=16.4596 MHz,浙大微电子,2023/7/19,33/91,浙大微电子,电源抑制比仿真,在电源电压上加入交流小信号,仿真基准源电压输出与电源上交流小信号的比值,即电源抑制比。电源噪声频率范围 一般是50kHz到50 MHz,所以扫描频 率也选择这个范围,2023/7/19,34/91,浙大微电子,基准源版图设计,采用全定制方法进行版图设计,把串扰、失配、噪声等的影响降低到最小。采用精度较高的多晶硅电阻,在电阻的版图设计中尽可能采用“等比例复制”方法。在电阻设计中尽可能使用完全相同的 电阻条,采用并联和串联的方法实现 阻值。同时,相邻两个电阻条的连接 使用铝条互连结构,避免使用弯角,避免转角误差。加入DUMMY电阻。,电阻版图,2023/7/19,35/91,浙大微电子,基准源版图设计,绘制PNP版图时,也要采用“等比例复制”方法。对于非常宽的晶体管,可采用折叠栅极的方法,节省面积,并减小工艺误差。对于对管设计,可采用交叉互补结构,提高匹配精度。,PNP版图,“交叉互补”对管设计,2023/7/19,36/91,浙大微电子,CMOS集成电路 噪声分析及仿真,集成电路噪声分析及仿真,2023/7/19,37/91,浙大微电子,噪声,噪声是一个随机过程,它限制了一个电路能够处理的最小信号电平;噪声的表示方法:噪声谱 也叫能谱密度PSD(power spectrum density)噪声单位是 或,表示单位Hz的噪声功率噪声分类:相关噪声,幅度相加。非相关噪声,平均功率相加。,2023/7/19,38/91,浙大微电子,电阻热噪声,产生机理:导体中的电子的随机运动尽管平均电流为零,但是它会引起导体两端电压的波动。,热噪声是白噪声,与频率无关热噪声谱与绝对温度成正比;,2023/7/19,39/91,浙大微电子,MOS噪声,MOS热噪声MOS闪烁噪声(1/f噪声)MOS噪声简化模型,2023/7/19,40/91,浙大微电子,MOS热噪声,MOS管的热噪声源主要由沟道贡献的 长沟道MOS器件的热噪声可等效为一个跨接在源漏两端的电流源,一般等于2/3,2023/7/19,41/91,浙大微电子,MOS闪烁噪声,产生机理:在栅氧和沟道界面上存在悬挂键,当电子通过这个界面时,会被随机地吸附和释放,从而影响沟道电流,产生闪烁噪声。表示方法:等效为与栅极串联的电压源,闪烁噪声又称为1/f噪声,2023/7/19,42/91,浙大微电子,MOS闪烁噪声,闪烁噪声是低频噪声,在高频时没有影响。音频芯片设计中,需要特别考虑闪烁噪声的影响。要减少闪烁噪声,就必须增加器件面积。低噪声应用,面积为几千平方微米的器件是不足为奇的。PMOS闪烁噪声较低,所以低噪声运算放大器设计中,常采用PMOS输入差分对。,2023/7/19,43/91,浙大微电子,MOS噪声简化模型,把MOS热噪声和闪烁噪声都等价到MOS的栅极。在计算等效输出噪声或等效输入噪声时,只需把噪声作为栅上输入小信号来处理即可。,MOS噪声集总模型(在低频和中频有效),MOS管噪声功率谱模型,2023/7/19,44/91,浙大微电子,电容的噪声特性,电容本身不产生噪声,但是会从其它噪声源上累积噪声。,电容上的噪声功率只与电容大小有关。在低噪声设计中,为了达到低噪声,必须采用较大的电容,大大耗费版图面积。,低通滤波器,2023/7/19,45/91,浙大微电子,差分对噪声分析,差分放大器,2023/7/19,46/91,浙大微电子,差分对噪声分析,2023/7/19,47/91,浙大微电子,信噪比和噪声系数(Noise Figure),信噪比:信号与噪声的功率之比,评估信号处理电路中噪声对信号的影响。,或,噪声系数:输入信噪比和输出信噪比的比值,评估信噪比在处理电路中的损失,即该电路抗噪声能力的大小。,或,2023/7/19,48/91,浙大微电子,Cadence下噪声仿真,Cadence提供的噪声分析工具:Noise仿真,用于连续时间系统,以低噪声运算放大器的噪声分析为例。PNoise(Periodic Noise)仿真,用于离散时间系统,以2阶Sigma-Delta调制器的噪声分析为例。,2023/7/19,49/91,浙大微电子,连续时间系统噪声仿真,低噪声运算放大器噪声仿真图 1、差分结构 2、闭环结构 3、单位电阻负反馈,2023/7/19,50/91,浙大微电子,连续时间系统噪声仿真,低噪声运算放大器电路结构图,2023/7/19,51/91,浙大微电子,连续时间系统的噪声仿真步骤,步骤一,打开Analog Design Environment(ADE)窗口 步骤二,选择Analyses菜单,设置成Noise仿真。Output Probe Instance要选择输出端的双端口器件,比如:电阻、电容、电流源、不能选择MOS器件;Input Noise选择Voltage或Current;Input Voltage Source选择电流源或者电压源作为等效噪声输入源。,噪声仿真设置,2023/7/19,52/91,浙大微电子,连续时间系统的噪声仿真步骤,步骤三,开始仿真。噪声仿真无需设置Outputs图形显示,所以设置好Analyses后可以直接仿真。步骤四,显示仿真结果。选择 Results-Direct Plot菜单,分 别有选项如下:Equivalent Output Noise,Equivalent Input Noise,Squared Output Noise,Squared Input Noise,Noise Figure。,仿真结果选择,2023/7/19,53/91,浙大微电子,选项说明,2023/7/19,54/91,浙大微电子,仿真结果,等效输入噪声和等效输出噪声,2023/7/19,55/91,浙大微电子,开关电容电路理论、设计举例及仿真,2023/7/19,56/91,浙大微电子,开关电容电路背景知识,20世纪70年代早期,模拟采样数据技术被用以代替电阻,得到的电路只包含MOSFET开关、电容和运放。这些电路称为开关电容电路。开关电容电路信号处理的精确性取决于电容比率的精确性(参考SMIC0.18umCMOS工艺中MIM电容的失配特性,0.2pF MIM电容的失配标准差低于0.32%)。开关电容电路信号处理精度远高于由电阻,电容和运算放大器组成的连续时间电路。,2023/7/19,57/91,浙大微电子,开关电容电路背景知识,开关电容电路的主要优点包括(1)与CMOS工艺的兼容性(2)时间常数的高精确性(3)电压的高线性(4)良好的温度特性 主要缺点包括(1)时钟馈通(时钟馈通,指MOS管的栅控时钟信号,通过Cgs,Cgd影响源漏电压的现象)(2)需要无交叠时钟信号(3)要求信号带宽比时钟频率小。,2023/7/19,58/91,浙大微电子,并联开关电容电路,(a)并联开关电容等效电路(b)阻值为R的连续时间电阻,比较得出结论:,并联开关电容模拟电阻是一个三端网络,它模拟的是两个非接地端口间的电阻,2023/7/19,59/91,浙大微电子,开关电容电路的精度,一个模拟信号处理电路的频率或时间精度是由电路时间常数决定的。对于连续时间电路(以一阶低通滤波器电路滤波器为例),一阶低通滤波器电路,在标准CMOS工艺中,,的精度在,5%到20%间变动,选择并联开关电容模拟R1,,(是时钟频率),由C1和C2的相对精度以及时钟频率的精度决定,2023/7/19,60/91,浙大微电子,开关电容电路的Cadence仿真方法,PSS分析(Periodic Steady State Analysis)。PSS分析能直接计算出电路周期性稳定状态响应的大信号分析,特别适用于包含多个激励源,且输出与其中部分激励源间呈强烈非线性关系的复杂电路。PSS分析步骤 1、将电路的小信号激励源忽略,计算出电路的稳定工作点 2、将电路响应在该工作点附近线性化,再考虑小信号激励源的影响,从而算出电路总的响应。,2023/7/19,61/91,浙大微电子,开关电容电路的Cadence仿真方法,PSS分析一般同其它小信号分析方法(Periodic Small-signal Analysis)结合使用,例如PXF(Periodic Transfer Function)、PAC(Periodic AC)、PNoise(Periodic Noise),Spectre各种分析工具,2023/7/19,62/91,浙大微电子,开关电容电路的噪声仿真,在Delta-Sigma调制器中,器件热噪声和闪烁噪声通常是主要的限制因素,但是这两种噪声很难通过瞬态仿真来准确获得 Delta-Sigma调制器中许多组成模块的工作点是周期性变化的,我们可以结合Cadence中的PSS和PNoise来仿真这些模块的噪声,进而估计整个调制器的噪声大小及分布以2阶Delta-Sigma调制器为例来介绍开关电容电路的噪声仿真,2023/7/19,63/91,浙大微电子,理论分析,关于Delta-Sigma调制器的器件噪声的几个结论:1、2阶Delta-Sigma调制器中的器件噪声主要由两个级联的开关电容积分器决定。,2阶Delta-Sigma调制器,2023/7/19,64/91,浙大微电子,理论分析,2、在开关电容积分器中,器件噪声独立于输入信号。因此仿真其器件噪声时积分器输入端可以仅加入直流信号,此时积分器的工作点是周期性变化,可以采用PSS和Pnoise进行联合仿真。,开关电容积分器,2023/7/19,65/91,浙大微电子,理论分析,3、开环系统的等效输入噪声与其对应的闭环系统的等效输入噪声相等(假设反馈支路本身并不引入额外噪声)。在采用PSS仿真开环系统时,很容易产生不收敛的问题,而闭环系统的收敛性远远好于开环系统,仿真时间也能大大缩短,所以我们可以通过仿真闭环系统来求解开环系统的等效输入噪声。关于Delta-Sigma调制器器件噪声详细的理论分析参见Manolis Terrovitis and Ken Kundert.Devise Noise Simulation of Delta-Sigma Modulators.In.,2023/7/19,66/91,浙大微电子,如何建立闭环系统,直接对两个级联的开环结构积分器进行PSS仿真,一般难以收敛,因此我们需要建立一个用于噪声仿真的闭环系统。开关电容电路是一个离散 时间系统,反馈到输入的 必须是上一个周期的输出 值。因此,在建立闭环系 统是,我们需要在反馈支 路中加入理想的采样/保持 电路,用来储存上一周期 的输出,同时并不引入额 外的噪声。,用于开关电容积分器噪声仿真的闭环结构,2023/7/19,67/91,浙大微电子,差分结构积分器的噪声仿真,用于差分结构开关电容积分器噪声仿真的闭环结构,2023/7/19,68/91,浙大微电子,2阶Delta-Sigma调制器的噪声仿真,用于2阶Delta-Sigma转换器噪声仿真的闭环结构,由于2阶Delta-Sigma调制器的器件噪声主要由两个级联的开关电容积分器决定,2023/7/19,69/91,浙大微电子,PSS仿真参数设置,在Fundamental Tones框中,Cadence会找到电路中的大信号激励源,并计算出Beat Frequency 和 Beat Period。在本例中,它将找到的是控制开关状态的时钟信号。在Output Harmonics 框中,需要填入谐波个数。所谓谐波,是相对于Beat Frequency 而言的。若填入0,则不考虑谐波的影响。,2023/7/19,70/91,浙大微电子,PSS仿真设置,在Output Harmonics 框中设置需要观察的谐波个数,在本例中,我们考虑信号附近前20个谐波对电路噪声的影响。Accuracy Defaults(errpreset)设置为moderate,而Additional Time for Stabilization(tstab)设置为2.11us(一般取时钟周期的十倍以上)。,PSS仿真参数设置,2023/7/19,71/91,浙大微电子,PNoise仿真设置,1、Maximum sideband 设置噪声分析时需要考虑的(信号频率附近)最大边频带范围。激励信号的所有谐波分量均对电路噪声有影响,而Pnoise仿真只能分析有限个谐波分量对噪声的贡献,所以我们采用Maximum sideband来定义哪些谐波分量对噪声的贡献是需要考虑的。理论上,Maximum sideband值越大,仿真结果越精确,但过大会导致仿真时间过长。,PNoise仿真参数设置,2023/7/19,72/91,浙大微电子,PNoise仿真设置,2、Output可选择probe或voltage,本例中Output选择voltage,其中Positive Output Node和Negative Output Node栏分别选择的是积分器或Sigma-Delta转换器的正负输 出端。3、Input Source 可选择probe,voltage,current或none。本例 中Input Source选择probe,Input Probe Instance栏填入积分器或Sigma-Delta转换器的输入电压源。,PNoise仿真参数设置,2023/7/19,73/91,浙大微电子,PNoise仿真设置,4、Reference side-band(refsideband)反映的是输入信号频率和输出信号频率之间的变换关系。若refsideband=0,表示电路的输入和输出没有发生频率变换。,PNoise仿真参数设置,2023/7/19,74/91,浙大微电子,PNoise仿真结果,点击Results-Direct Plot-Main Form,出现Direct Plot Form窗口。在Function栏中,我们可以选择查看输出噪声、输入噪声和噪声系数等;在Signal Level栏中,我们可以选择噪声的单位;在Modifier栏中,我们可以选择噪声是以Magnitude形式输出还是以dB20形式输出。,PNoise仿真输出方式设置,2023/7/19,75/91,浙大微电子,PNoise仿真结果,开关电容积分器的输出噪声,2023/7/19,76/91,浙大微电子,PNoise仿真结果,开关电容积分器的等效输入噪声,在信号带内,低频端闪烁噪声较为明显,中频端器件热噪声占主要地位,噪底很平。开环系统的等效输入噪声的曲线与其对应的闭环系统的等效输入噪声的曲线基本重合,2023/7/19,77/91,浙大微电子,PNoise仿真结果,在信号带内,Delta-Sigma调制器的等效输入噪声主要由第一级积分器决定 到了较高频处,Delta-Sigma调制器和第一级积分器的等效输入噪声均有所增加,这是因为积分器中运算放大器在高频段增益较低所致。,2阶Delta-Sigma转换器的等效输入噪声,2023/7/19,78/91,浙大微电子,噪声分布,通过PNoise仿真可以得到调制器的噪声分布。点击Results-Print-Noise Summary,出现Noise Summary窗口 1、Type分为spot noise和intergrated noise两种,spot noise指某一频率点上的噪声,而intergrated noise指某一频段内的噪声。,噪声报告设置,2023/7/19,79/91,浙大微电子,噪声分布,2、FILTER选择需要列入噪声报告的器件。本例中选择Include All Types,即噪声报告包括列表中的所有器件。3、Truncate&sort,truncate限制噪声报告中列出的器件个数,仅列出噪声较大的器件;sort根据器件噪声贡献的大小或器件名称等,将噪声报告中列出的器件进行排序,,噪声报告设置,2023/7/19,80/91,浙大微电子,噪声分布,Noise Summary窗口设置确认后,Cadence将给出Results Display Window,即噪声报告。Param栏中的噪声类型 一般包括:fn(MOS管闪烁噪声)id(MOS管热噪声)rd(电阻热噪声)ib(双极型晶体管基级 电流散粒噪声)ic(双极型晶体管集电 级电流散粒噪声),噪声报告文本输出结果,2023/7/19,81/91,浙大微电子,Monte Carlo仿真,2023/7/19,82/91,Monte Carlo分析简介,Monte Carlo分析是一种器件参数变化分析,使用随机抽样统计来估算数学函数的计算方法。它需要一个良好的随机数源。这种方法往往包含一些误差,但是随着随机抽取样本数量的增加,结果也会越来越精确。,2023/7/19,浙大微电子,83/91,与corner分析的区别,矩形框四个角和中心表示5个不同工艺角的覆盖范围,而灰色填充表示用Monte Carlo分析得到的实际电路工艺偏差(一般满足高斯分布)。从图中可以看出,满足工艺角变化的范围不一定能完全满足覆盖实际工艺变化范围,因此要用Monte Carlo分析得到工艺角变化的概率,以得到电路的良率。,2023/7/19,浙大微电子,84/91,需要工艺库的支持,Monte Carlo分析是基于统计分析,需要Foundry提供关于工艺变化分布概率,因此首先需要检查工艺文件是否支持Monte Carlo分析。仿真前,更改对应的器件模型section。如SMIC 65nm下的mos管为mc。,2023/7/19,浙大微电子,85/91,Monte Carlo仿真流程,1.建立一个基本仿真,如tran仿真。2.选择Tools-Monte Carlo。,2023/7/19,浙大微电子,86/91,3.Number of Runs填入仿真次数,次数越多,仿真时间越长,结果也更精确。4.Starting Run#默认为1即可。如果勾选Append to Previous Scalar Data以集合几次 Monte carlo仿真数据,则该数据不能和已仿真的次数重叠,例如第一次分析设置Starting Run#为1,仿真次数为100,则第二次仿真分析该值至少为101。,2023/7/19,浙大微电子,Monte Carlo仿真流程,87/91,5.Analysis Variation可选Process、Mismatch、Process&Mismatch。6.Output可从菜单Output-Retrieve Outputs 获取ADE界面的输出,也可以自己输入添加。7.菜单“simulation-run”,运行分析,得到仿真结果。,2023/7/19,浙大微电子,Monte Carlo仿真流程,88/91,2023/7/19,浙大微电子,Monte Carlo仿真流程,工艺角仿真:最大离散0.60%,Monte Carlo:3离散0.77%,89/91,作业,从FTP:文件夹中拷贝低压基准源电路至自己的工作站;将基准源电路与自己工作站中对应的仿真库文件进行链接,其中工作站133的仿真库文件路径为:/home/pdk/smic65llrf_121825_2tm_cds_1P6M_2010_12_1_1.1电路中有一项参数未知,希望同学们对该参数进行设计优化,使得基准源的温度系数最小;优化完成后,仿真基准源的温度系数、工艺角、环路稳定性、电源抑制比等。对该基准源进行Monte Carlo仿真,得到输出电压的均值及标准差(1000次仿真)。,浙大微电子,2023/7/19,90/91,浙大微电子,谢谢大家!,2023/7/19,91/91,

    注意事项

    本文(《浙大微电子》PPT课件.ppt)为本站会员(牧羊曲112)主动上传,三一办公仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三一办公(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    备案号:宁ICP备20000045号-2

    经营许可证:宁B2-20210002

    宁公网安备 64010402000987号

    三一办公
    收起
    展开