欢迎来到三一办公! | 帮助中心 三一办公31ppt.com(应用文档模板下载平台)
三一办公
全部分类
  • 办公文档>
  • PPT模板>
  • 建筑/施工/环境>
  • 毕业设计>
  • 工程图纸>
  • 教育教学>
  • 素材源码>
  • 生活休闲>
  • 临时分类>
  • ImageVerifierCode 换一换
    首页 三一办公 > 资源分类 > PPT文档下载  

    VHDL课件第一章概述.ppt

    • 资源ID:5308540       资源大小:3.27MB        全文页数:54页
    • 资源格式: PPT        下载积分:10金币
    快捷下载 游客一键下载
    会员登录下载
    三方登录下载: 微信开放平台登录 QQ登录  
    下载资源需要10金币
    邮箱/手机:
    温馨提示:
    用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP免费专享
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    VHDL课件第一章概述.ppt

    第1章 概述,为什么要运用硬件描述语言(HDL)进行集成电路设计?,1.晶体管的发明,理论推动19世纪末20世纪初发现半导体的三个重要物理效应光电导效应光生伏特效应整流效应量子力学材料科学需求牵引:二战期间雷达等武器的需求,真空电子管无法满足高频、便携性、可靠性等要求。,一.集成电路的发展,晶体管的发明,1946年1月,Bell实验室正式成立半导体研究小组:W.Schokley(肖克莱),J.Bardeen(巴丁)、W.H.Brattain(布拉顿)Bardeen提出了表面态理论,Schokley给出了实现放大器的基本设想,Brattain设计了实验;1947年12月23日,第一次观测到了具有放大作用的晶体管;,晶体管的发明,1947年12月23日第一个点接触式NPN Ge晶体管发明者:W.Schokley J.Bardeen W.Brattain,获得1956年Nobel物理奖,2.集成电路的发明,1952年5月,英国科学家G.W.A.Dummer(达默)第一次提出了集成电路的设想。1958年以德克萨斯仪器公司(TI)的科学家基尔比(Clair Kilby)为首的研究小组研制出了世界上第一块集成电路,并于1959年公布了该结果。,集成电路的发明,1958年世界上第一块集成电路:锗衬底上形成台面双极晶体管和电阻,总共12个器件,用超声焊接引线将器件连起来。,获得2000年Nobel物理奖,集成电路的发明,Kilby 的专利(1964年),集成电路的发明,平面工艺的发明:1959年7月,美国Fairchild 公司的Noyce发明第一块单片集成电路,利用二氧化硅膜制成平面晶 体管,并用淀积在二氧化硅膜上的、和二氧化硅膜密接在一起的导电膜作为元器件间的电的连接(布线)。这是单片集成电路的雏形,是与现在的硅集成电路直接有关的发明。由此,将平面技术、照相腐蚀和布线技术组合起来,获得大量生产集成电路的可能性。,Noyce发明的第一块单片集成电路,第一块单片集成电路专利,Noyce的专利(1961年),集成电路的发展,集成电路经历了从小规模集成(SSI)、中规模集成(MSI)、大规模集成(LSI)和超大规模集成电路(VLSI)、而达到目前的特大规模集成电路(ULSI)时代,目前还有人提出了GSI的说法。,集成电路的功能也不断增强,正向设计是指由电路指标、功能出发,进行逻辑设计(子系统设计),再由逻辑图进行电路设计,最后由电路进行版图设计,同时还要进行工艺设计。,从集成电路的布图风格看,集成电路设计方法可以分成:全定制(Full-Custom)方法;定制(Custom)方法;标准单元(Standard Cell,SC)通用单元(General Cell,GC)半定制(Semi-Custom)方法;门阵列(Gate Array,GA)有通道门阵列法 门海法-线性阵列(Linear Array)可编程逻辑器件(PLD),现场可编程。PLA、PAL和GALFPGA和CPLD,全定制方法,全定制方法是指在系统功能设计、逻辑和电路设计完成以后,在优化每个器件的电路参数和器件参数的情况下,通过人机交互图形系统,人工设计版图中的各个器件和连线,以获得最佳性能(速度和功耗等)和最小芯片尺寸.全定制方法是一种以人工设计为主的设计,一.有通道门阵列法 门阵列设计技术是在一个芯片上把形状和尺才相同的单元排列成阵列形式,每个单元内部包含若干个器件,单元之间留有布线通道,通道宽度和位置固定,并预先完成接触孔和连线以外的所有芯片加工步骤,形成母片然后根据不同的应用,设计出不同的接触孔版和金属连线版,在单元内部连线以实现某种门的功能,再通过单元间连线实现所需的电路功能 是一种母片半定制技术,未使用的单元,已经使用的单元(4-输入 NOR),定制设计方法,一.标准单元法,一种库单元设计方法 从标准单元库中调用事先经过精心设计的逻辑单元,并排列成行,行间留有可调整的布线通道,再按功能要求将各内部单元以及输入/输出单元连接起来,形成所需的专用电路,标准单元设计,标准单元版图示例,Brodersen92,可编程逻辑器件设计方法,是一种已完成了全部工艺制造的.可以直接从市场上购得的产品,刚购来时它不具有任何逻辑功能,但一经编程就可以在该器件上实现设计人员所要求的逻辑功能.,特点:采用熔断丝、电写入等方法对已制备好的PLD器件实现编程,利用相应的开发工具就可完成设计,不需要设计人员承担投片风险和费用,资金投入小。用户可以反复地编程,以实现不同的功能。使用FPGACPLD进行电路设计时,不需要具备专门的IC(集成电路)深层次的知识,使设计人员更能集中精力进行电路设计,快速将产品推向市场。随着VlSI(Very Large Scale IC,超大规模集成电路)工艺的不断提高,FPGACPLD芯片的规模也越来越大,它所能实现的功能也越来越强,目前可以实现系统集成(sopc)。,二.集成电路设计方法和工具的变革,1.原始的手工设计,随着世界上第一块集成电路的问世,手工设计方法也就诞生了。在IC设计的所有步骤上、完全依靠手工操作。人脑通过纸和笔,完成了初步的功能设计、逻辑设计、直至电路设计之后,用分立的元器件搭制起硬件模拟电路。让信号通过这一模拟电路,以验证其功能及各项参数是否满足原设计的要求。在接下来的版图设计阶段,也完全采用人工进行布局布线。凭眼力或感觉反复调整与斟酌。确定最佳排版案使之遵从面积最小、连线最短原则。然后,用尺和笔在方格纸上绘制版图分层剥刻红膜,逐一检查整套版中每一层红膜的每一个孔是否存在漏剥漏刻等等之类的错误。然后用这套红膜去拍照制版加工出流片所需的光刻掩膜板。,接下来是试制流片。整个流片过程中的工艺设计也是人工的。若需采取新工艺或调整一些工艺参数,则须通过工艺线上一丝不苟的实验。最后,整个IC芯片试制的成功与否设计的正确与否,尤其是版图及其之后设计部分的正确性,都只有等到最终测试了流片结果后才能知晓。,依据以上的简单介绍,手工设计的缺点也是显而易见的,可以将它归纳为以下几个方面:(1)文档管理、修改十分不便。对于小规模电路而言,也许只是增加了一些工作量而己,而随着设计规模的增大,面对几十张,甚至上百张的逻辑设计图采用手工设计、查错,实际上已经是不可想象的事情。(2)只有等到设计的最后阶段才能进行实测分析。通常的方法是先用分立元件搭样机,通过样机实测,确定所设计的功能是否可以实现,然后再转入版图设计,等到芯片流片完成后才能获得测试结果。也就是说,任何一步出了问题,只有到最后才能观测到,因而提高了设计成本.(3)整个设计过程与工艺相关,没有互换性。对不同的流片厂家,不同的流片工艺,版图都必须加以重新设计。手工设计方法主要应用于早期的小规模IC。,2.第一代EDA(计算机辅助设计CAD),针对手工设计所面临的困难,CAD技术出现了。随着计算机软件技术的渗透,各种各样的计算机模拟软件,比如线路模拟、逻辑模拟、时序模拟、器件模拟、工艺模拟等软件先后问世、构成了早期ICCAD技术的主要内涵。lCCAD除了众多的模拟软件之外。还有一个大的方向即是计算机也介入丁IC的版图设计领域。版图设计一直是一个费时费力又十分关键的工作、一般可分为两个环节:一是 总体布图(布局布线)。又称排版,二是版图的具体绘制。一般早期的ICCAD软件尚不具备自动的布局布线功能、还只能从事简单的第二步工作、即利用已有的商品化图 形软件包来辅助设计人员进行交互式的以图形编辑为主的版图绘制工作。设计人员通过构思,大体上完成了版图的排版工作之后就可以利用数字化仪、鼠标或光笔等,在计算机上 对版图进行初步绘制和编辑。这实际上是利用计算机对图形调用、移动、旋转、缩放、修 改、重复等操作的能力。快速精确地绘制出满足精度要求的版图经过进一步的检查与调 控形成适合于制版需要的版图数据文件交由工厂制作掩膜板.,3.第二代EDA(计算机辅助工程CAE系统),到了80年代,为了适应电子产品在规模和制作上的需要,出现了以计算机仿真和自动 布线为核心技术的第二代EDA工具。产生了计算机辅助工程CAE的概念。这些电子设计工具的主要特征为:硬件采用工作站,软件功能为原理图的输入、模拟验证、逻辑综合、芯片布图、印刷电路 板布图等。随着工程数据库技术的发展,这些电子设计工具都提供了元件库。如用于原理 图的通用元件逻辑电路图库、各种常用元件接插件的封装库等。标准元件库的建立,使设 计工作方便快捷。这个阶段的特点是以软件工具为核心,通过这些软件完成产 品开发的设计、分析、生产、测试等项工作。,4.第三代EDA,进入90年代,芯片的复杂程度越来越高,单是依靠原理图输入方式已不堪承受,采用硬件描述语言HDL的设计方式应运而生。90年代后期,出现了以高级语言描述、系统级仿真和综合技术为特征的第三代EDA 工具。主要特征是自动化程度提高,人工干预减少,电子设计由辅助手段变为主要手段。未来EDA的发展趋势是高度自动化,设计者从事概念设计或者是电子系统的“行为设 计”,而大部分工程中的技术问题,由EDA工具解决。EDA工具的出现,极大地提高了系统设计的效率,缩短了产品的研制周期。,SystemC,VHDL,Verilog HDL,SystemVerilog,硬件描述语言HDL,硬件描述语言VHDL,VHDL语言的英文全名是Very High Speed Integrated Circuit Hardware Description Language即超高速集成电路硬件描述语言。美国国防部电子系统项目有众多的承包公司。由于各公司技术路线不一致,许多产品不兼容,他们使用各自的设计语言,造成了信息交换困难和维护困难。国防部为他们的超高速集成电路提供一种硬件描述语言,要求各公司的合同都用它来描述,以避免产生歧义。,VHDL工作小组于1981年6月成立,提出了满足电子设计要求的能够作为工业标准的HDL。1983年,提出语言版本和开发软件环境。1986年IEEE标准化组织开始工作,讨论VHDL语言标准,于1987年12月通过标准审查,并宣布实施,即IEEE STD 1076-1987LRM87。1993年VHDL重新修订,形成新的标准即IEEE STD 1076-1993LRM93。美国国防部实施新的技术标准,要求电子系统开发商的合同文件一律采用VHDL文档。即第一个官方VHDL标准得到推广、实施和普及。,VHDL的特点,优点:(1)功能强大、设计灵活(2)强大的系统硬件描述能力(3)移植能力强(4)VHDL语法规范、标准,易于共享与复用(5)支持广泛,易于修改(6)与工艺无关(7)易于ASIC移植(8)上市时间短,成本低,缺点:(1)不具有描述模拟电路的能力(2)综合工具生成的逻辑实现有时并不是最佳(3)EDA工具的不同导致综合质量的不同,集成电路的设计过程:设计创意+仿真验证,功能要求,行为描述(VHDL),Sing off,设计业,VHDL综合,把抽象的实体结合成单个或统一的实体。,图1-2 编译器和综合功能比较,图1-3 VHDL综合器运行流程,VHDL综合,EDA技术实现目标,目标:是完成专用集成电路ASIC的设计和实现,图1-1 EDA技术实现目标,基于VHDL的自顶向下设计方法,图1-4 自顶向下的设计流程,一般ASIC设计的流程,2.2.2 一般ASIC设计的流程,2.2.2 一般ASIC设计的流程,2.2.2 一般ASIC设计的流程,2.2.2 一般ASIC设计的流程,2.2.2 一般ASIC设计的流程,2.2.2 一般ASIC设计的流程,2.2.2 一般ASIC设计的流程,2.2.2 一般ASIC设计的流程,2.2.2 一般ASIC设计的流程,2.2.2 一般ASIC设计的流程,2.2.2 一般ASIC设计的流程,2.2.2 一般ASIC设计的流程,图2-4 ASIC设计流程,常用EDA工具,1、设计输入编辑器,4、适配器,5、下载器,QuartusII,图1-9 Quartus II设计流程,EDA技术的优势,可以在电子设计的各个阶段、各个层次进行计算机模拟验证,有各类库的支持,某些HDL语言也是文档型的语言(如VHDL),日益强大的逻辑设计仿真测试技术,设计者拥有完全的自主权,再无受制于人之虞,良好的可移植与可测试性,为系统开发提供了可靠的保证,能将所有设计环节纳入统一的自顶向下的设计方案中,自动设计能力、不同内容的仿真模拟、完整的测试,EDA的发展趋势,在一个芯片上完成的系统级的集成已成为可能,可编程逻辑器件开始进入传统的ASIC市场,EDA工具和IP核应用更为广泛,高性能的EDA工具得到长足的发展,计算机硬件平台性能大幅度提高,为复杂的SoC设计提供了物理基础。,SOC,其他接口模块,ARM/POWER PC 等,SOC:SYSTEM ON A CHIP,SOPC:SYSTEM ON A PROGAMMABLE CHIP,SOPC,NIOS,EthernetInterface,ARM,UART,RAM/ROM FIFO,USB,PCI,DSP Blocks,PLLs,SDRAM CONTROL,VGA,PS2,Multiply Unit,JPEG CPL,FIR,IIR,FFT,大规模FPGA,Nios嵌入式系统IP软核,Flash ROM,固体硬盘,SRAM,内存,SDRAM,内存,嵌入式Bios,嵌入式ROM,嵌入式RAM,嵌入式FIFO,SDRAM控制模块,硬件DSP模块,RS232,CAN控制器,DMA,VGA控制器,RS232接口电路,PS2键盘接口,PS2鼠标接口,Ethernet接口,内部时钟,PIC接口,浮点算术协处理器,VGA接口,PS/2键盘/鼠标接口,D/A接口,A/D接口,LCD接口,LED接口,USB控制器,UART FIFO,并行接口,图象或语音采样接口,立体声输出接口,通用I/O口,应用系统,SOPC,SOPC用于网络通信系统电路结构,Flash,CPU,AVALON总线,以太网通信接口,RAM,网络通信接口器件,Flash,CPU,AVALON总线,SRAM,大屏幕显示扫描控制输出,显示驱动,DMA,以太网通信接口,网络通信接口器件,SOPC用于LED大屏幕显示控制结构,显示屏,Flash,AVALON总线,RAM,A/D采样控制,高速A/D,D/A输出控制,高速D/A,DMA,CPU,硬件乘法累加器,硬件复数乘法器,硬件浮点运算器,SOPC用于DSP系统电路结构,SOPC用于步进电机细分控制电路结构,CPU,步进电机,PWM波发生器,PWM D相输出,PWM C相输出,PWM B相输出,PWM A相输出,AVALON总线,Flash,RAM,

    注意事项

    本文(VHDL课件第一章概述.ppt)为本站会员(sccc)主动上传,三一办公仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三一办公(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    备案号:宁ICP备20000045号-2

    经营许可证:宁B2-20210002

    宁公网安备 64010402000987号

    三一办公
    收起
    展开