欢迎来到三一办公! | 帮助中心 三一办公31ppt.com(应用文档模板下载平台)
三一办公
全部分类
  • 办公文档>
  • PPT模板>
  • 建筑/施工/环境>
  • 毕业设计>
  • 工程图纸>
  • 教育教学>
  • 素材源码>
  • 生活休闲>
  • 临时分类>
  • ImageVerifierCode 换一换
    首页 三一办公 > 资源分类 > PPT文档下载  

    现代雷达系统分析与设计(陈伯孝)第5章.ppt

    • 资源ID:5291296       资源大小:3.21MB        全文页数:222页
    • 资源格式: PPT        下载积分:15金币
    快捷下载 游客一键下载
    会员登录下载
    三方登录下载: 微信开放平台登录 QQ登录  
    下载资源需要15金币
    邮箱/手机:
    温馨提示:
    用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP免费专享
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    现代雷达系统分析与设计(陈伯孝)第5章.ppt

    ,5.1 雷达信号处理的任务与分类 5.2 雷达回波信号模型 5.3 数字中频正交采样 5.4 脉冲压缩处理 5.5 拉伸信号处理 5.6 步进频率信号的合成处理5.7 FFT在雷达信号处理中的应用 5.8 窗函数及其在雷达信号处理中的应 5.9 多脉冲积累的处理方法 5.10 MATLAB程序清单,第5章 雷达信号处理的基本方法,在雷达系统中,信号处理扮演着十分重要的角色。它既是区分老式雷达与现代雷达的重要标志,也是各种新体制雷达中的核心技术。雷达信号处理是指对观测信号进行分析、变换、综合等处理,抑制干扰、杂波等非期望信号,增强有用信号,并估计有用信号的特征参数,或是将信号变成某种更符合要求的形式。信号处理的方式也从早期的模拟域发展到几乎都采用数字域。数字信号处理以数字或符号序列表示信号,用数值计算的方法完成对信号的各种处理。,数字信号处理的主要方法有数字卷积(时域处理)、数字谱分析(频域处理)、数字滤波(包括有限冲激响应滤波器(FIR)和无限冲激响应滤波器(IIR)等。特别是随着微电子技术的迅速发展,高性能的数字信号处理器不断出现,这为实时处理带来了方便,过去在模拟域的处理现在都可以在数字域实现。未来雷达将向“全数字化”的方向发展。本章主要介绍雷达中信号处理常用的方法,包括数字中频正交采样、脉冲压缩、步进频率综合、拉伸处理及快速离散傅立叶变换(FFT)、窗函数在雷达信号处理中的应用。在介绍处理方法之前先给出接收信号模型。,有关抑制杂波的信号处理方法(如MTI、MTD等)将在第6章介绍,而抑制干扰的信号处理方法(如SLC、SLB等)将在第7章介绍。数字波束形成(DBF)、与阵列相关的信号处理方法将在第10章介绍。,雷达信号处理的任务就是最大程度地抑制噪声和干扰,提取与目标属性有关的信息。从狭义上讲,雷达信号处理是指对雷达天线接收到的经接收机处理后的信号进行处理,以在多种干扰背景中完成目标检测与信息的初步提取,,5.1 雷达信号处理的任务与分类,主要包括干扰抑制、目标检测、信息提取。从广义上讲,雷达信号处理涉及各种不同发射波形的选择、检测理论、性能评估以及天线和显示终端或数据处理计算机之间的电路装置(硬件和软件),以完成所要求的信号之间的变换和参数提取。具体来说,信号处理包括信号产生、信号提取、信号变换三大类,其中信号产生包括调制、上变频、倍频、合成、放大和波束形成等;信号提取包括解调、下变频、分频、滤波、检测和成像等;,信号变换包括频率变换、AD变换、相关、放大及延时等。根据雷达的任务及其工作环境,对雷达信号处理的要求是:(1)能够处理海量信息,即不仅能够获取目标的位置和数量等常规信息,还能获取目标的属性或图像信息。(2)实时性强,使完成一次处理所用的时间与雷达的数据率相匹配。(3)鲁棒性好,能够在复杂的电磁环境(特别是强电磁干扰环境)下正常工作。,实现上述要求取决于五种能力:(1)杂波和干扰的有效抑制能力,具体措施分别在第6、7章介绍。(2)目标回波能量的有效收集能力,主要措施有:改善天线的主瓣增益,降低旁瓣;降低天线转速,增加每个波位的驻留时间;选择能量利用率高的信号形式;提高雷达发射信号的峰值功率;距离维匹配滤波(脉冲压缩);方位维一次扫描周期内对一个波位的多个脉冲的相干和非相干积累;扫描周期间的积累(航迹提取);其它,如双多基地、变极化、扩充工作频段等。,(3)高效的空间搜索能力。(4)良好的空间分辨能力,主要措施有:尽可能地增大天线的功率孔径积,提高角分辨能力;改进测角方式,提高角度测量精度;使用距离波门(时域滑窗)进行距离跟踪,减小多目标在频域的混叠;使用大带宽信号和脉冲压缩技术,提高距离分辨能力;采用频率滤波,提高速度分辨能力;通过合成孔径,提高方位分辨能力;两幅天线干涉合成,提高俯仰角分辨能力。,(5)良好的环境适应能力:自适应杂波抑制(自适应滤波、自适应CFAR、杂波图等);自适应数字波束形成;智能化特征抽取和目标识别算法;多模式协同工作(例如预警机、多模式SAR)。雷达信号处理的分类方法较多,按处理域分为时域信号处理、空域信号处理、频域信号处理、极化域信号处理和多域联合信号处理。按实现方式分为基于通用数字信号处理器(DSP)的软件算法编程的信号处理实现方式;基于专用集成电路设计(ASIC)的全硬件的信号处理实现方式;,基于DSP、FPGA或ASIC相结合的并行高速信号处理实现方式。尽管DSP芯片已由单核发展到双核甚至多核,例如:德州仪器公司开发的TMS320C67XX包括6个内核,我国中电集团第三十八研究所自主开发的高性能DSP芯片(BWDSP100)有4个乘法器,但对一些需要同时完成数百个甚至数千个乘法运算的场合,DSP的运算能力仍不能满足要求,就需要采用FPGA或ASIC设计更多的乘法器运算模块。,表5.1 常用雷达信号处理方法,雷达接收信号可以表述为x(t)S(t)N(t)C(t)J(t)(5.2.1)其中S(t)为目标回波信号,常称为有用信号;N(t)为噪声,包括接收机内部噪声及其天线和外部环境噪声;C(t)和J(t)分别为杂波和干扰。,5.2 雷达回波信号模型,雷达杂波是指自然环境中不需要的回波,即传播路径中客观存在的各种“不需要”物体散射的回波信号。杂波包括来自地物、海洋、天气(特别是雨)、鸟群等的回波。在较低的雷达频率,电离的流星尾迹和极光的回波也能产生杂波。干扰是指人类活动过程中所发出的电磁波对雷达的影响。它包括两种类型:一类是人为有意造成的,其目的是为了影响雷达的正常工作而实施的敌对活动所发出的电磁波信号;另一类是人类活动过程中所发出的电磁波无意识地对雷达工作造成的影响,,例如,电台等,对某些低频段雷达可能造成干扰,导致雷达在电台方向不能正常工作。人们通常说的干扰指第一种,即人为实施的。在有的书籍中也将杂波称为无源消极干扰。由于二者产生的机理不同,雷达抑制的措施也不同,表5.2简单地比较了杂波和干扰的不同,本书将在第6、7章分别对其特征和抑制方法进行介绍。,表5.2 杂波和干扰的对比,雷达信号处理的目的就是抑制杂波和干扰,同时提高目标回波的信噪比,再进行目标检测与跟踪等。对目标回波信号S(t)而言,它包含与目标距离相对应的时延信息、与目标的径向速度对应的多普勒频率信息,以及目标的方向和信号的强度等信息。对一般警戒雷达,在模拟产生目标回波信号时,通常不考虑目标的方向与天线的方向图函数(认为目标在方向图函数最大值方向),这时目标回波信号的基带复包络可表示为,(5.2.2)其中se(t)为发射信号的复包络;A为信号幅度,通常根据SNR设置;(t)2R(t)/c2R0vrt/c,为目标时延,R0为一个CPI内目标的初始距离;fd2vr/,为多普勒频率。因此,在产生目标回波时,可以直接按时变的时延来产生,也可以直接用多普勒频率来模拟产生多个脉冲重复周期的目标回波信号。如果考虑目标回波的幅度起伏,则第m个脉冲重复周期的目标回波信号可以近似为,(5.2.3)对多普勒敏感信号(如相位编码信号等),建议直接用式(5.2.2)中第1个等式产生。读者可以从给出的MATLAB程序中体会。,而对方向测量和跟踪雷达,例如,单脉冲雷达需要模拟和、差通道的目标回波,这时需要考虑天线的方向图函数G(,j),目标回波信号的基带复包络可表示为(5.2.4)其中(0,j0)为目标的方位角和仰角。,5.3.1 模拟正交相干检波器的不足传统雷达对接收信号经过模拟混频、滤波得到中频信号,再经过模拟正交相干检波器得到基带I、Q信号。模拟正交相干检波器如图5.1所示。,5.3 数字中频正交采样,再利用两路模-数变换器(ADC)同时对I、Q分量进行采样。根据奈奎斯特(Nyquist)采样定理,要求采样频率fs至少是信号最高频率fmax的2倍。然而,如果信号的频率分布在某一有限频带上,而且信号的最高频率fmax远大于信号的带宽,此时仍按Nyquist定理采样的话,则其采样频率会很高,以致难以实现,或是后续处理的速度不能满足要求。另外,由于模拟正交相干检波器需要两路完全正交的本振源、两个混频器和滤波器,如果这两路模拟器件的幅度和相位特性不一致,将导致I、Q不平衡,产生镜频分量,影响改善因子等。,图5.1 模拟正交相干检波器,若中频输入信号模型为s(t)cos2(f0fd)t,则在理想情况下,正交两路混频器的参考信号和输出的基带信号为(5.3.1)若两个本振信号存在幅度相对误差A和正交相位误差j(即相位差不等于90),正交两路混频器的参考信号和输出的基带信号为,(5.3.2)则在输出信号x(t)单边带频谱的频率fd相对称的位置(fd)产生一个频谱分量,称为镜频分量。镜频分量与理想频谱分量的功率之比称为镜频抑制比,用IR表示。当幅度和相位误差分别为A或j,IR可以近似计算为(5.3.3),假设多普勒频率fd1000 Hz,图5.2给出了幅相误差对IR的影响,其中图(a)上边是不存在幅相误差的基带复信号的功率谱,下边是相位误差j分别为1、5时的功率谱,可见这时的IR分别为41.2 dB、27.2 dB;图(b)是镜频抑制比与幅相误差的关系,图中实线表示只有相位误差(单位:度),虚线表示同时存在幅度和相位误差,例如,横坐标的幅相误差为“1”表示相位误差为1和幅度相对误差为1%。,图5.2 幅相误差对镜频抑制比的影响,为了达到较高的镜频抑制比,要使得图5.1中模拟正交相干检波器的同相和正交两通道的相位误差小于1,这是非常困难的。因此,模拟正交相干检波器的镜频抑制比受到限制。现代雷达采用数字正交相干检波的方法得到基带I、Q信号。,5.3.2 数字中频正交采样的原理为了克服模拟正交相干检波器的不足,通常采用数字正交采样的方法得到基带I、Q信号,而且由于通常需要处理的信号的带宽是有限的,因此可以直接对中频信号进行带通采样。带通采样的采样频率与低通采样不一样,它与信号的最高频率没有关系,只与信号带宽有关,最小可等于信号带宽的2倍,实际中常取信号带宽的4倍或更高。,带通采样定理:设一个频率带限信号为x(t),其频带限制在(fL,fH)内,如果采样速率满足:(5.3.4)(5.3.5)式中,f0fLfH2,为带限信号的中心频率;BfHfL,为信号频宽;m取能满足以上两式的正整数,则用fs进行等间隔采样所得到的信号采样值能准确地确定原始信号。,上述带通采样只允许在其中一个频带上存在信号,而不允许在不同的频带上同时存在信号,否则将会引起信号混叠。为满足这样一个前提条件,可以采用跟踪滤波的办法来解决,即当需要对某一个中心频率的带通信号进行采样时,就先把跟踪滤波器调到与之对应的中心频率f0n上,滤出所感兴趣的带通信号,然后再进行采样,以防止信号混叠。该跟踪滤波器也称之为抗混叠滤波器。,一个带通信号可表示为(5.3.6)其中xI(t)、xQ(t)分别是x(t)的同相分量和正交分量;0为载频或中频,a(t)、j(t)分别为包络和相位调制函数。它们有如下关系:(5.3.7)(5.3.8),构成的复包络信号为若采样频率fs满足:(5.3.9)并以采样周期对此信号采样,则采样后的输出为,(5.3.10),由上式可以看出,可直接由采样值交替得到信号的同相分量I(n)和正交分量Q(n),不过在符号上需要进行修正。另外I、Q两路输出信号在时间上相差一个采样周期ts,但在信号处理中,要求得到的是同一时刻的I和Q之值,所以需要对其进行时域插值或进行频域滤波,二者是等效的。下面就低通滤波法、插值法和多相滤波法这三种方法进行简单介绍。,5.3.3 数字中频正交采样的实现方法1.低通滤波法低通滤波法是一种仿照传统的模拟正交采样的实现方法,只是将频移放在了A/D变换之后,混频和滤波都是由数字系统来实现的,其原理框图如图5.3所示。,图5.3 低通滤波法实现数字正交采样的原理框图,将中频输出信号x(n)分别与相乘,即数字混频,得到(5.3.11)(5.3.12),在频域上等同于将频谱左移2(归一化频率为1/4),这样就将正频谱的中心移到了零频,时域信号也相应地分解为实部和虚部,再让混频后的信号经过低通滤波器,滤除高频分量,即可得到所需的基带正交双路信号I(n)和Q(n)。由于滤波器的输入数据交替为0,因此可以对滤波器进行简化,I、Q支路的滤波器系数分别为:(5.3.13),式中,h(n)为FIR原型滤波器的系数,N为h(n)的阶数。这样,滤波器的阶数降低了一半,同时完成了数据的1/2的抽取。,低通滤波法对两路信号同时作变换,所用的滤波器系数相同,这样两路信号通过低通滤波器时由于非理想滤波所引起的失真是一致的,对I、Q两路信号的幅度一致性和相位正交性没有影响,从而具有很好的负频谱对消功能,可以达到很高的精度。为获得较高的镜频抑制比,设计的低通滤波器阻带衰减要有一定的深度,最好使衰减后的镜频分量不大于量化噪声,同时过渡带要窄,这样在同样的采样率下,就可以允许更宽的输入信号。,2.插值法由式(5.3.10)可以看出,同相分量I(n)和正交分量Q(n)在时域上相差半个采样点。要得到同一时刻的I(n)和Q(n)的值,从时域处理的角度来看,最简单的办法就是采用插值法,即采用一个N阶的FIR滤波器对其中一路进行插值滤波,另一路作相应的延时处理。这样的处理相当于频域上的滤波,完成插值后,负频谱的分量就被滤除掉了,此后的采样率可以再降低,由此可得到插值法的结构框图如图5.4所示。,图5.4 插值法实现数字正交采样的原理框图,插值函数有多种形式,按照香农(Shannon)采样定理可选用辛克函数sin(x)x作为插值函数,而在数学上,还可以采用多项式插值,其中应用较多的是贝塞尔(Bessel)插值。Bessel插值是用多项式来逼近一个带限函数,可以根据已有的奇数项Q(n)的值进行Bessel内插得出偶数项Q(n)的值。,n(n为偶数)阶Bessel中点插值公式为 式中,hxixi1,为两个已知点之间的距离;为yf(x)在yi点的n阶差分(5.3.15),各项的系数正好为(ab)n展开的二项式系数。实际上,只要用Q(2i1)代替式中的yi,用fs/2代替h,就可以得到对Q(2i)的内插值。平移内插数据就可以实现对所有的偶数项Q(2i)的内插。N阶的Bessel内插实际上只有N2个不同的系数,且其分母为2的整数次幂,见表5.3,因此Bessel插值在具体实现中很简单。,表5.3 常用的Bessel插值相应的系数,假设式(5.3.3)中m1,则采样频率对窄带中频信号采样,则第n个采样点的离散形式为(5.3.16)式中,为采样间隔。将 代入式(5.3.12),得到,(5.3.17)式中,K0,1,2,M。,由Bessel内插公式知,8点中值公式可化简为(5.3.18)式中,I2、I4、I6、I8为采样点,为I2、I4、I6、I8的中值点。对于下列时间序列:Q1、I2、Q3、I4、Q5、I6、Q7、I8,利用式(5.3.18)求出 则 和Q5即为一组正交信号。由此得到利用内插运算进行数字正交采样的实现框图如图5.5(a)所示,但考虑到运算精度,实际上求 的逻辑图按图5.5(b)完成。,这里主要考虑了数字信号的特点和具体器件的使用技巧,即不需要采用乘法器,只需要进行简单的移位加法运算,即可完成正交通道的插值。,图5.5 内插法进行数字正交采样的实现框图,3.多相滤波法一种更具实用性的中频正交采样方法是多相滤波法,其实现方法如图5.6所示。,图5.6 多相滤波法进行数字正交采样的原理框图,若对中频采样输出信号x(n)进行奇偶抽选,所得到的偶数项记为I(n),奇数项记为Q(n)。如前所述,I(n)和Q(n)是两路采样周期为T2Ts(Ts1/fs)的基带正交信号,两者在时间上相差一个中频采样周期Ts,即T2。内插法由于只对一路信号作变换,所得到的两路信号的幅度一致性和相位正交性受滤波器阶数的影响很大,而多相滤波法则不存在这种缺陷。在这种处理方法中,首先设计一个低通滤波器,,从滤波器系数中选择一部分来对I(n)进行滤波,再选择一部分来对Q(n)进行滤波,适当选取这两部分滤波器系数,可使得后者的滤波延时比前者少半个样本周期。这样,I(n)和Q(n)经滤波输出后将得到标准的正交双路信号。而且,这两个滤波器的系数是从同一个低通滤波器的系数中有规律地选取出来的,具有相似的频响特性,即使所设计的低通滤波器的特性是非理想的,也不会给I、Q两路信号的正交性带来很大影响。,设计的低通滤波器实质上是一个插值滤波器。对于一个L倍内插滤波器而言,对其冲激响应进行L分选,可得到L路滤波器系数。将每一路滤波器系数单独作为冲激响应,即可构成L个滤波器。由插值理论可知,其中每一个滤波器实质上都是一个分数相移滤波器。这样每一个滤波器的滤波延时较前一个多1L个样本,则第m个和第n个滤波器的滤波延时相差(mn)L个样本。如果要使两个滤波器的滤波延时相差半个样本,则L必须为2的整数倍。,以L4为例,将抽选出的第二路滤波器的系数作为hQ(n),Q(n)经过滤波器后延时个样本(其中N为抽选出的滤波器阶数),第四路滤波器的系数作为hI(n),I(n)经过滤波器后延时个样本,这样经多相滤波后,恰好修正了I、Q两路信号在时间上的不一致性。,4.三种方法的性能比较为了分析比较上述三种方法的镜频抑制性能及其对宽带信号的适应性,对低通滤波法、插值法和多相滤波法进行计算机仿真。为了使结果具有可比性,支路滤波器的阶数统一为16阶,三种方法原型滤波器分别为32阶、16阶和64阶。对于低通滤波法,其理想的滤波器应该具有较陡的过渡带(较尖锐的截止特性)和较大的阻带衰减。低通滤波器的设计可以采用窗函数法或者最佳等波纹法。,最佳等波纹法具有很高的阻带衰减,对镜频的抑制性能好,同时可以实现较尖锐的截止特性,因此选用此法进行低通滤波器的设计。滤波器的归一化通带截止频率和阻带起始频率分别为0.25和0.60,利用Reme2方法设计的低通滤波器的频率响应如图5.7所示,可见其具有一定的过渡带,阻带衰减可达180 dB,在很大范围内都满足线性相位特性,因此可以获得较好的镜频抑制比。将滤波器系数按式(5.3.11)分别抽取偶数项和奇数项作为I、Q两路的滤波器系数。,插值法采用16点的Bessel插值,具有8个非零的系数,由于这8个系数呈左右对称,故只有4个不同的系数。而对于多相滤波法,利用Kaiser窗函数先设计一个1:4的内插低通滤波器(64阶的FIR原型滤波器,归一化通带截止频率为0.25),其频率响应如图5.8所示,分别取2、4支路作为Q、I两路的滤波器系数(支路滤波器系数16阶)。可以证明两路滤波器的幅度响应是完全一致的,误差主要在相位失真上。,图5.7 雷米兹(Remez)方法设计的低通滤波器的幅相特性,图5.8 凯塞(Kasier)窗设计的低通滤波器的幅相特性,假设输入中频信号带宽B4 MHz,f010 MHz,fs8 MHz(相当于中m3)。信号形式为s(n)式中fd为输入信号频率相对于采样频率fs的频偏,其范围fd2 MHz,2 MHz。对输入信号分别用三种方法进行正交分解,对其输出结果进行FFT变换得到其频谱,然后分别计算镜频抑制比,结果如图5.9所示。图中横坐标为信号的频率偏移分量 fd与采样频率 fs之比,即归一化带宽的一半(假设信号的中心在载频 f0),纵坐标为镜频抑制比IR。由图可见,Bessel插值法在较窄的频偏时具有很高的镜频抑制效果,最高可达到280 dB,但其有效带宽比较小,在信号归一化带宽超过10时,镜频抑制比很快就衰减到较低的水平,故插值法适用于信号带宽较窄、信号的能量集中在频谱中心的情况,此时实现起来较为容易一些。,与插值法相比,多相滤波法的带宽较宽,当归一化带宽超过20时,其镜频抑制特性才会明显下降,而且实现时支路滤波器的阶数为原型滤波器的1L(L为偶数,一般取L4),能够以较低的滤波器阶数得到较高的镜频抑制比,故对于一定带宽内(20以内)的宽带信号,多相滤波法是一种较为理想的实现方法。而低通滤波法在整个频带内都具有相对较平坦的镜频抑制比,即使信号的归一化带宽在40左右时也可以达到170 dB左右的镜频抑制比,因此它适用于边带频谱较强的信号,故对宽带信号而言更适合采用低通滤波法进行正交变换。,另外考虑到实际实现时有限字长的影响,对输入、输出和滤波器系数进行量化,取A/D采样后输入信号字长为12 bit,滤波器系数和输出信号字长为16 bit,所得结果如图5.10所示。由图可以看出,受有限字长的影响,镜频抑制都有所下降,但在一定的范围内,三种方法都可以达到90dB左右的镜频抑制效果,能够满足工程实现的需要。,图5.9 理想情况下三种方法的镜频抑制比,图5.10 考虑量化噪声时的镜频抑制比,雷达系统为了满足提高探测距离和距离分辨率的双重要求,就要求采用大时宽带宽积信号。脉冲压缩处理将发射的宽脉冲信号压缩成窄脉冲信号。它既可以发射宽脉冲以提高平均功率和雷达的检测能力,又能保持窄脉冲的距离分辨率。脉冲压缩信号的大时宽带宽积的性能,大多是通过信号的非线性相位调制获得的,如脉宽内线性调频、非线性调频、频率编码和相位编码等。下面主要介绍三种类型信号的脉冲压缩处理。,5.4 脉冲压缩处理,5.4.1 线性调频脉冲信号的压缩处理在介绍脉冲压缩处理之前,先给出线性调频反射信号、接收信号的表达式及其经过匹配滤波器后的输出。假设雷达发射线性调频脉冲信号,可表示为(5.4.1),式中,Te为发射脉冲宽度,f0为中心载频,为调频斜率,B为调频带宽。该信号的复包络及其离散信号(采样间隔为Ts)为(5.4.2)(5.4.3),假定目标初始距离R0对应的时延为t0,即t02R0c;目标的径向速度为v。若不考虑幅度的衰减,则接收信号及其相对于发射信号的时延分别为(5.4.4)(5.4.5),其中c是光速。将式(5.4.5)代入式(5.4.4),得(5.4.6)其中(5.4.7),接收信号与cos(2f0t)和sin(2f0t)分别进行混频、滤波,得到接收的基带复信号模型为(5.4.8),由于v c,1,目标的多普勒频率fd(1)f0,时延项与时间t无关,包络检波时为常数,因此,式(5.4.8)可简写为(5.4.9),雷达几乎都是在数字域进行脉压处理的,脉冲压缩本身就是实现信号的匹配滤波,只是在模拟域一般称匹配滤波,而在数字域称为脉冲压缩。因此,根据式(2.3.46),令匹配滤波器的冲击响应h(t)s*(t),则匹配滤波器的输出为(5.4.10)式中操作符表示卷积。将式(5.4.9)代入式(5.4.10),可得匹配滤波器输出为,(5.4.11)其模值为(5.4.12)可见,输出信号在处取得最大值。,脉压输出结果均具有sinc函数的包络形状,其4 dB主瓣宽度为1/B,第一旁瓣的归一化副瓣电平为13.2 dB。如果输入脉冲幅度为1,匹配滤波器在通带内传输系数的增益为1,则输出脉冲幅度为(5.4.13)这里,表示输入脉冲和输出脉冲的宽度比,称为压缩比。,由此可以看出,对LFM信号,匹配滤波器对回波信号的多普勒频移不敏感,因而可以用一个匹配滤波器来处理具有不同多普勒频移的信号,这将大大简化信号处理系统;另外,这类信号的产生和处理都比较容易。现代雷达的脉冲压缩处理均采用数字信号处理的方式。实现方法有两种:当要求较小的脉压比时,经常采用时域相关的处理方式;当要求较大的脉压比时,通常利用FFT在频域实现。,由于匹配滤波器是线性时不变系统,根据傅立叶变换的性质,(5.4.14)当两个信号都被正确采样时,脉冲压缩输出信号可以表示为(5.4.15),图5.10表示在频域实现线性调频信号数字脉冲压缩的方框图。采用频域实现脉冲压缩方法相对于时域卷积而言,其运算量将大为减少,而且在脉冲压缩时可以利用加窗函数来抑制旁瓣,只需将匹配滤波器系数与窗函数在MATLAB中预先进行频域相乘(频域加窗)或者时域相乘(时域加窗),即(5.4.16),其中w(n)为窗函数,可以根据需要选取合适的窗函数。将其结果H(f)预先存入DSP的匹配滤波器系数表中,不需要增加运算量。需要注意的是,FFT/IFFT的点数不是任意选取的。假设输入信号点数为N,滤波器阶数为L,那么经过滤波后的输出信号点数应为NL1,则对于FFT点数的选择必须保证其大于等于NL1,通常取2的幂对应的数值大于等于NL1。因此,在对滤波器系数及输入信号sr(n)进行FFT之前,要先对序列进行补零处理。,图5.11 线性调频脉冲信号数字处理框图(频率域),假定雷达脉冲压缩处理的距离窗定义为RrecRmaxRmin(5.4.17)其中,Rmax和Rmin分别表示雷达探测的最大和最小作用距离。单基地雷达在发射期间不接收,因此雷达的最小作用距离取决于发射脉冲宽度,例如,若脉冲宽度Te200s,则Rmin30 km,表明在近距离存在30 km的盲区。根据奈奎斯特采样定理,对实信号而言,采样频率fs2 B,采样间隔对时宽为Te的LFM信号FFT的频率分辨率为f1Te,则所要求的最小样本数为,(5.4.18)因此,总共需要(2TeB)个实样本或(TeB)个复样本才能完全描述时宽为Te、带宽为B的LFM波形。假定复采样间隔Ts对应的距离量化间隔为(通常小于或等于距离分辨率 则式(5.4.17)对应的距离单元数为因此,完成接收窗Rrec信号的频域脉压需要的FFT的点数为,(5.4.19)实际中为了更好地实现FFT,通过补零将N扩展为2的幂,即FFT的点数为(5.4.20),式(5.4.12)表明线性调频信号通过匹配滤波器后,输出压缩脉冲的包络近似为sinc(x)形状。其中最大的第一对旁瓣比主瓣电平小13.2 dB,其它旁瓣随其离主瓣的间隔x按1x的规律衰减,旁瓣零点间隔为1/B。在多目标环境中,强目标回波的旁瓣会埋没附近较小目标的主瓣,导致目标丢失。为了提高分辨多目标的能力,必须采用旁瓣抑制或加权技术。加权可以在发射端、接收端或收、发两端上进行,分别称为单向加权或双向加权,其方式可以是频域幅度或相位加权,也可以是时域幅度或相位加权。,此外,加权可在射频、中频或视频级中进行。为了使发射机工作在最佳功率状态,一般不在发射端进行加权。目前应用最广的是在接收端进行脉冲压缩过程中采用频域幅度加权。窗函数将在5.8节专门予以介绍。MATLAB函数“LFM_comp.m”可以产生线性调频脉冲的目标回波信号,并给出脉压结果。语法如下:functionyLFM_comp(Tp,Bm,Ts,R0,Vr,SNR,Rmin,Rrec,Window,bos)其中,各参数的说明见表5.4。,表5.4 函数LFM_comp.m的参数说明,图5.12给出了线性调频信号的目标回波及其脉压结果,参数见表5.4,其中图(a)为匹配滤波系数的实部(未加窗);图(b)为脉压输入信号的实部;图(c)是加泰勒窗后的脉压结果;图(d)是未加窗的脉压结果,副瓣比主瓣低13.2 dB,为辛克函数的副瓣电平。,图5.12 线性调频脉冲信号的脉压结果,5.4.2 LFM信号的距离-多普勒测不准原理式(5.4.12)表明,当 fd0或 fd0时,脉压输出结果均具有sinc函数的包络形状。只是当 fd0时,包络没有平移,峰值对应于真实目标位置。而当 fd0时,sinc包络将产生位移,引起测距误差;而且输出脉冲幅度下降,宽度加大,信噪比和距离分辨率有所下降。图5.13(a)、(b)分别是假设两个目标的速度为100,0ms、170,340ms的脉压结果,尽管速度并不影响线性调频信号的脉压处理,但是,目标的距离发生了位移。这就是线性调频信号的“测不准原理”。,当目标的径向速度为vr时,由于速度测不准而产生的距离误差为(5.4.21)在图5.13(b)中两目标的速度为170,340ms,产生的测距误差为340,680m。当然,实际中如果根据航迹估计目标的速度,就可以按式(5.4.21)补偿后减小测距误差。,图5.13 线性调频脉冲信号的脉压结果(运动目标),5.4.3 相位编码信号的脉冲压缩处理前面已经指出,脉冲压缩技术是匹配滤波和相关接收理论的实际应用。图5.14为伪随机相位编码脉冲压缩的实现框图,其中的关键部件脉冲压缩器可以采用匹配滤波技术和相关技术来实现。,图5.14 相位编码脉冲压缩的实现框图,因此,相位编码脉冲压缩器有延迟匹配脉冲压缩器和相关检测器两种。延迟匹配脉冲压缩器用在模拟脉冲压缩器中,抽头延迟线可采用全通网络、CCD或SAW器件来实现;而在数字脉冲压缩器中则采用移位寄存器来代替抽头延迟线。数字相关压缩处理的原理如图5.15所示,这是数字时域的相关处理方法。如果相位编码信号的码长较长,则通常采用与图5.11类似的频域相关处理方法,利用FFT可以大大减少运算量。,图5.15 数字相关压缩处理框图,假定雷达发射的二相编码脉冲信号模型为(5.4.22)其中j(t)0,为所采用的二相编码序列对应的相位。发射信号的基带复信号模型即为脉压的匹配滤波系数,即(5.4.23),相位编码信号在频域的脉冲压缩处理与LFM信号的频域脉压处理类似(如图5.11),也是利用正-反离散傅立叶变化的方法实现。设S()、H()分别为输入信号s(n)和匹配滤波系数h(n)的傅立叶变换,则脉压处理输出信号y(n)为(5.4.24),在进行采样时,通常每个码元采样12个点。由于相位编码信号是多普勒敏感信号,它的脉压处理与LFM信号类似,最大区别在于需要根据目标的大致速度进行补偿。MATLAB函数“P CM_comp.m”用来产生二相编码脉冲的目标回波信号,并给出其脉冲压缩结果。语法如下:functionyP CM_comp(Tp,code,Ts,R0,Vr,SNR,Rmin,Rrec,Window,bos)其中,各参数说明如表5.5所示。,表5.5 P CM_comp的参数说明,图5.16给出了二相编码脉冲信号及其脉冲压缩结果,参数见表5.5,其中图(a)为码长127的M序列,即匹配滤波系数的实部;图(b)是图(a)的M序列的非周期自相关函数;图(c)为脉压输入信号的实部;图(d)是两目标速度为零时的脉压结果;图(e)是两目标速度为100,0ms时的脉压结果,可见距离在90 km位置的目标几乎看不到,这是由于速度对脉压的影响,表明二相编码脉冲信号是多普勒敏感信号。,图5.16 二相编码脉冲信号的脉冲压缩结果(1),图5.16 二相编码脉冲信号的脉冲压缩结果(2),5.4.4 相位编码信号的多普勒敏感性假定雷达发射的二相编码脉冲信号模型为(5.4.25)其中j(t)0,为所采用的二相编码序列对应的相位。设目标相对雷达的径向速度为vr,雷达工作波长为,目标的多普勒频率为则目标回波的基带复信号模型为(5.4.26),在t时刻该信号的相位为(5.4.27)例如,若雷达采用P127的M序列,每个码元的时宽为1 s,总的发射脉冲宽度为127 s,目标的速度为300 ms,0.03 m,则目标回波在整个脉冲宽度期间由于多普勒频率而产生的总的相移为(5.4.28),因此,在脉冲压缩处理时就不能与发射信号的调制相位相匹配,导致脉压损失,甚至无法压缩出目标。下面结合仿真实例进行说明。,仿真实例:雷达发射P127的M序列,发射脉冲宽度为127 s,0.03 m,目标的速度分别为25,50,300ms,目标的距离分别为60,90,120 km,SNR均为20 dB。图5.17给出了目标回波的相位及其脉冲压缩结果,其中图(a)、(b)、(c)分别为三个目标回波的相位,图(a)中目标回波的相位变化不超过90,不会对脉压造成损失;图(b)中目标回波的相位变化有部分超过90,会对脉压造成一定的损失;图(c)中目标回波的相位变化较大,会对脉压造成影响;图(d)为对这三个目标回波的脉压结果。,由此可见,速度为25 ms的目标回波进行脉压处理的SNR约提高20 dB,速度为50 ms的目标脉压处理的SNR改善比第1个目标低约2 dB,速度为300 ms的目标就不能压缩出来。,图5.17 目标回波的相位及其脉冲压缩结果,图5.18给出了不同速度引起的脉压损失。因此,二相编码脉冲信号需要在脉压之前对目标径向运动速度进行补偿。或者说二相编码脉冲信号只适合于慢速运动目标的场合(例如对海面舰船目标的探测)。,图5.18 目标速度产生的脉压损失,5.4.5 LFM信号与相位编码信号的比较上面介绍了线性调频脉冲和二相编码脉冲这两种典型且常用的大时宽带宽积信号的脉压处理,表5.6对这两种信号及其脉压处理进行了比较。,表5.6 LFM和二相编码脉冲信号及其脉压处理的比较,特 征LFM脉冲信号二相编码脉冲信号调制方式 频率调制 相位调制多普勒敏感性 不敏感,尽管速度会引起脉压的距离发生位移,但并不影响脉压 敏感,速度引起脉压损失,甚至不能进行脉压模糊函数 斜刀刃状 图钉型距离与多普勒耦合 存在距离与多普勒的测不准问题 不存在,但需要对速度进行补偿副瓣 可以利用窗函数降低副瓣 不能利用窗函数降低副瓣;但码长越长,副瓣越低,或优化设计低副瓣的编码适用场合 使用广泛 目标速度较小或目标速度大致已知的场合(否则需要对目标速度进行搜索),拉伸(Stretch)处理,也叫做“有源相关”,通常用于处理带宽很宽的LFM信号。这种处理技术的流程如图5.19所示,图中给出了三个点目标或散射点的回波在处理过程中的时频变化关系示意图。其处理过程为:首先,雷达回波与一个发射信号波形的复制品(作为参考信号)混频;随后进行低通滤波和相干检波;再进行数模变换;,5.5 拉伸信号处理,最后,采用一组窄带滤波器(即FFT)进行谱分析,提取与目标距离成正比的频率信息。这种拉伸处理有效地将目标距离对应的时延转换成了频率,接收的相同距离单元上的回波信号产生了同样的频率。参考信号是一个LFM信号,具有与发射的LFM信号相同的线性调频斜率。参考信号存在于雷达的“接收窗”的持续时间内,而持续时间由雷达的最大和最小作用距离的差值计算得到。,图5.19 拉伸处理框图,拉伸处理与上一节介绍的LFM脉冲压缩处理的主要区别之一是参考信号不同,在上一节里是采用单载频信号作为参考信号,因此采样速率要求为调频带宽的两倍。例如,若距离分辨率为0.3 m,则要求调频带宽为500 MHz,采样速率要求达1 GHz。而拉伸处理的采样速率主要取决于距离分辨率和接收窗的大小。接收窗的大小通常只有数千米甚至更小。雷达的发射信号模型可表示为,(5.5.1)式中,B/Te,为LFM斜率,B为调频带宽,Te为脉冲宽度;f0为线性调频脉冲的起始频率。假设在距离为R位置有一个点散射体,雷达接收其回波的信号为(5.5.2),式中,a为信号幅值,与目标RCS、距离、天线增益等有关;2R/c为时延。混频器输入的参考信号为(5.5.3)式中,为接收窗;fr0为参考信号LFM的起始频率,通常令fr0f0。,接收信号与参考信号经混频、低通滤波后的复信号模型为(5.5.4)该信号的瞬时频率为(5.5.5),上式表明,目标的距离与瞬时频率成正比。所以,对接收信号进行采样并对采样序列进行FFT,在频率为fi的峰值位置对应的目标距离为(5.5.6)假设距离为R1、R2、RI处有I个目标,根据式(5.5.4),总的接收信号可表示为(5.5.7),由此可见,不同距离的目标回波出现在不同的频率上。图5.19中给出了三个目标的回波信号示意图,对应的频率分别为f1、f2、f3。为了在FFT后能区分开不同的频率,下面主要讨论采样率和FFT点数的确定方法。N点FFT的频率分辨用f表示。假设两个相邻的点散射体的距离为R1和R2,距离间隔为RR2R1,可以分辨这些散射体的最小频率间隔 f 为(5.5.8),将Rc/(2B)代入上式,得(5.5.9)由于N点FFT可分辨的最大频率限制在Nf/2范围内,最大可分辨频率为(5.5.10),由将式(5.5.9)代入式(5.5.10),得(5.5.11)因此,选取FFT的点数为(5.5.12)m是一个非零的正整数。于是,采样间隔为,(5.5.13)MATLAB函数“stretch_comp.m”用来产生stretch处理的目标回波信号,并给出脉压结果。语法如下:functionystretch_comp(Tp,Bm,R0,Vr,SNR

    注意事项

    本文(现代雷达系统分析与设计(陈伯孝)第5章.ppt)为本站会员(牧羊曲112)主动上传,三一办公仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三一办公(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    备案号:宁ICP备20000045号-2

    经营许可证:宁B2-20210002

    宁公网安备 64010402000987号

    三一办公
    收起
    展开