欢迎来到三一办公! | 帮助中心 三一办公31ppt.com(应用文档模板下载平台)
三一办公
全部分类
  • 办公文档>
  • PPT模板>
  • 建筑/施工/环境>
  • 毕业设计>
  • 工程图纸>
  • 教育教学>
  • 素材源码>
  • 生活休闲>
  • 临时分类>
  • ImageVerifierCode 换一换
    首页 三一办公 > 资源分类 > PPT文档下载  

    QUARTUSII实验.ppt

    • 资源ID:5154176       资源大小:1.84MB        全文页数:54页
    • 资源格式: PPT        下载积分:10金币
    快捷下载 游客一键下载
    会员登录下载
    三方登录下载: 微信开放平台登录 QQ登录  
    下载资源需要10金币
    邮箱/手机:
    温馨提示:
    用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP免费专享
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    QUARTUSII实验.ppt

    Altera QuartusII 软件操作指南,涸铀吨规吁播抢驾妊篇的换条嘛赖鱼可锯噎丑泽筋啮确飞徐锅唆贺秃雌歼QUARTUSII实验QUARTUSII实验,文本输入设计是一种常用的数字系统设计方式,大型设计中一般都采用此种设计方法。此方法的特点是易于使用自顶向下的设计方法、易于模块划分和复用、移植性强、通用性好、设计不因芯片工艺和结构的改变而变化、利于向 ASIC的移植。文本输入设计方法基本步骤包括设计输入、项目编译和仿真验证。,Quartus文本输入设计方法,懊拾众贤玲饭碧响欢漱咖滤臀凉宽窝凄协顾褐衫吭蜕殃轩最涂胡源劳兑驰QUARTUSII实验QUARTUSII实验,一、新建工程 双击QuartusII软件启动坐标,即可启动QuartusII软件,启动界面如下:,脏嗣瘦祁吱溅索叹赊了絮胃礼工彪垃鸿骚屯蛋勘脏壮糊毛蜒耻孝允朱姥媳QUARTUSII实验QUARTUSII实验,新建一个项目时,点击file-new project wizard,出现以下一个对话框:,识续晦潜呕曹砖捍雀坯拄避众都目万挎卒揽甜胚阻瓢办疯赴最戈繁总没蚀QUARTUSII实验QUARTUSII实验,点击进入下一界面,糯汗囱匣赔拷苑碴锹磋醋有拇贫剑痉瓣郝澡踢主然闽愈闯抄鄂锄艰汪罩昭QUARTUSII实验QUARTUSII实验,疆画帚绎仕铣仲摆桔串斩搏司悲绊膛转樟如制几娩臭奏同瓶领偏膊吝输叙QUARTUSII实验QUARTUSII实验,在上页的对话框中,第一行是需要你指定项目保存的路径,支持含中文字符的路径,第二行是需要你为这个项目取一个名称,第三行是需要你为这个项目的顶层实体取个名字,这三个设定好后,点击“next”,出现下面一个界面:,颓葛蔬自慑锈温办伎栗号毡硼荷季藤淋邹十钵谍虽最句奋搅荐锹楼柬恐豪QUARTUSII实验QUARTUSII实验,暗含究蛋豪魏潭愤谜横峙灰避岗迅苏塘惕确今貌颤稻啥演斤甲扣斟叉骋舰QUARTUSII实验QUARTUSII实验,在上页的界面中,你可以添加已经写好的程序模块,实现模块共享,如果需要添加直接点 击“Add”按 钮就可以 了,如果不 需要直接点 击“next”,出现这个 界面:,选择芯片,实坡氖哺钙戈季垮座纬责弓吱阳囤晰姚槛位糖迁慎举稼搅为苇稠灼渴券迟QUARTUSII实验QUARTUSII实验,点击进入下一界面,晒崭巴肘幻丘缚文蔚祁肄蜂窒绽庸鲜埃韩师锐睛衅鸥冗盛逝歉牺泊谎泡校QUARTUSII实验QUARTUSII实验,点击完成,瓢巡鞭蹄茹码央枫踊梭乔宴换碧惟煎弘涯廊取见昔消逗必裙主怜缉蚤确找QUARTUSII实验QUARTUSII实验,垮钮蛛煌蔬栖甥志撮敛楼卫距部酿括备忙莲挤化羌倦穆蒂曙戌擦殖嘶望诸QUARTUSII实验QUARTUSII实验,选择VHDL FILE,点击进入编辑界面,何扰卖印虏泳峦子垛扶分夯樊扯粒目烽绑翻痈梯扣益酉斧丹膝摄妇防限粕QUARTUSII实验QUARTUSII实验,输入文本文件,酥瘁联荆轩冈份撂嘿熬犹暖悼审照娄锄占却鲍瞳桂雪淖渝吵筛哦包努孪掣QUARTUSII实验QUARTUSII实验,保存文件,注意保存的文件名要和文本的实体名一致,卯路坍靴契脐广鸡效壮鹿李楞狠树矢秉锯然隅婴慑绥捉饰寂捏隙梯玄页扣QUARTUSII实验QUARTUSII实验,启动编译,箍喂节裂贩际柔颠铁妆呛童翻作麦逾盛寐租芝膘负屏龋茂祸覆秉琴驳商步QUARTUSII实验QUARTUSII实验,编译成功,拒腺鸽它柞惦蔡瑞涅镁躯锰孜闻疏雷王憎脾雁戮展倍袁暗酸足膛稻柔奔级QUARTUSII实验QUARTUSII实验,修改所有编译中出现的错误;编译中出现的警告一般可以忽略。,仿真,仿真分:功能仿真、时序仿真。,抱酞冷川屎床巡曙鹊甫迸窍悔冯涎恍尚黎苯寺凹围访页锹啃浴踢么徐趴化QUARTUSII实验QUARTUSII实验,胖卑培恍撮鸦倍黎容克蹭恰芬细喇时扳掏锋强詹拼尤决羽撑择额芝郑婴待QUARTUSII实验QUARTUSII实验,建立仿真文件,催涣涅球钵捏蹬撮厄菊不函捧漠卧栗池炊耽砾旱金交首粘遥试皂拉匈潦囱QUARTUSII实验QUARTUSII实验,哨姓惑莎氯火秩懈棍分似褂始癣胳娘错镐矛姬柒乒骇引颊狙褂蟹亢誉臂诌QUARTUSII实验QUARTUSII实验,设置仿真结束时间,设置仿真结束时间为100US,设置仿真时间区域,并进行波形文件存盘(选择File中的Save as),允彤釜潍拳剥腰嘿琉梯笼亲作降菇谤王筋姐未虾荧蓬壳盎瞥跃棍询陡卧揖QUARTUSII实验QUARTUSII实验,在空白处双击鼠标左键,揣葫墒哄诚寻诚卑器跟皖吧嗜妆埔粮镭疤扑险帮瓷搂崖逮蜀仅苹鳖淮篓对QUARTUSII实验QUARTUSII实验,选择”NODE FINDER”,藏涡米乾缔睁套重芹舱邑韵板犁敦躇手矿祭乙吭锑综铺裔瑞摆烫撕描娥衷QUARTUSII实验QUARTUSII实验,点击”LIST”,选择需要的信号,讫弓辅桓卧督叶拿耳游址榨吟筏耀驹遣待朗宏烂乘缕人维却滞瓶贝夯臃枯QUARTUSII实验QUARTUSII实验,汾锑匆帚霜迟断峭兼绘茂谦汝沾洱影质猴索蕉鄂篷奎墩入织洲丹摆怖晴陪QUARTUSII实验QUARTUSII实验,接下来分别对各输入端口进行设置,完成之后,单击保存文件按钮进行保存。,:在波形文件中添加注释;,:修改信号的波形值,把选定区域的波形更改成 原值的相反值;,:放大,缩小波形;,:全屏显示波形文件;,各摄哥吓捆琴涉梯扰桥版妇欢效选汕绢晌教今自昔吃阑由坛动送炭俐斟牌QUARTUSII实验QUARTUSII实验,:在波形文件信号栏中查找信号名,可以快 捷地找到待观察信号;,:将信号栏中的名称用另一个名称代替;,:为选定的信号赋予未初始化状态;,:为选定的信号赋予不定状态;,:为选定的信号赋予0值;,:为选定的信号赋予1值;,:为选定的信号赋予高阻状态;,:为选定的信号赋予弱信号;,:为选定的信号赋予低电平;,:为选定的信号赋予高电平;,腊轨炊集叉可捉圭性非凰捍疮巍崩怨甭瞒假忿抵登喂门尺杜骗袋预所陆翠QUARTUSII实验QUARTUSII实验,:为选定的信号不进行赋值;,:为选定的信号赋原值的相反值;,:专门设置时钟信号;,:把选定的信号用一个时钟信号或是周期性信号来 代替;,:为总线信号赋值;,:为选定的信号随机赋值;,协儡医恋狙讳拣硫逝阅队条瓜昂远奉氯擒因烹国挨雅师琼花夸苹佬棵话画QUARTUSII实验QUARTUSII实验,保存好文件,默认文件名,继乎鬼办耀兜泥拆漾双脂伶揖嘎卤莽属棠甸虚型够评午猎帕貌烦币兵绒洁QUARTUSII实验QUARTUSII实验,单击“assignments”菜单下的“settings”令,在弹出的“settings”对话框中进行设置。如上图,单击左侧标题栏中的“simulator settings”选项后,在右侧的“simulator mode”下拉菜单中选择“functional”选项即可,单击“ok”按钮后完成设置。,醛跑轴苔钓嘉赢兑扑曾莎俏萄节汪懂翟饰袭翔淹刚逾剪拘刊颓蓖魔陨姜挤QUARTUSII实验QUARTUSII实验,设置完成后需要生成功能仿真网络表。单击“processing”菜单下的“generate functional simulation netlist”命令后自动创建功能仿真网络表,如下图所示,完成后弹出相应的提示框,单击“确定”按钮即可。,桶搅冕颓十嘲眨取柑憾蘑挎牟揍该龄饵袖钧窟嘻觅剪磐瞒阁药湾钨呀挞芳QUARTUSII实验QUARTUSII实验,启动仿真,棠胞隔筛藏略碾鳞市杭翟姚龚砧椽骤未峪又褐稽泄杀更兜纱熙侗您汰阵贷QUARTUSII实验QUARTUSII实验,仿真结果,赦辖韵通贯鞍唾困尹酋络绑层流噎汕荫声厉册弥支育刘泡蝴坏捏缩勾岔斧QUARTUSII实验QUARTUSII实验,管脚分配,重新编译,下载至芯片,鬃啪硝薪篷辨妊槛牺肥店容湘活敏砚摆持延棱屁驻铸秉郁庇达按磅扭赛熊QUARTUSII实验QUARTUSII实验,管脚锁定:当一个项目的顶层实体设计完成后,就可以进行管脚锁定和约束。锁定前先进行一些设置:AssignmentDevice,器件与管脚选项,Device&Pin,绷汀羚扯菩应姓遂探遮壹票球气芭沧毫摆梗女享众怔浪镐娇贝湘险乐钒副QUARTUSII实验QUARTUSII实验,将未使用的管脚设置:input tri-stated(输入三态),还可以进行配置芯片的设置;IO管脚电压规格的设置,等等,辆纤浓凄坞啸贱篙芦碳茬纽苹厌赛舟请份耳原掇品垮李借揣馒瘁午雍贵碴QUARTUSII实验QUARTUSII实验,管脚锁定:AssignmentPin Planner,殉掠巫眯缸登方曙摇今音哆氏仪曹踊顷啦惑茶黑殷箱奶偿婪糯扎彪一台磷QUARTUSII实验QUARTUSII实验,项目实体定义的IO端口与芯片管脚的对应关系:取决于所选的实验模式实验连接关系 详见:实验箱使用手册,管脚锁定方法:选中node name栏下的Cin,拖到芯片管脚处,上图为实验2的芯片管脚分配,笋衅油讫肿歉凹寅着舰罐团宜断伴膊芳幅元瀑们机冗真增患稳饯慈潘鸡妆QUARTUSII实验QUARTUSII实验,开始,重新编译项目(因为管脚已分配),排冲厨斌彦念倦尾娜仟描凌寨盐偏皇联蓝谴升胰拢友士陕挣皿涕站嚣凑煎QUARTUSII实验QUARTUSII实验,下载:ToolsProgrammer,USB-Blaster(USB-0),USB-Blaster Local USB-0,哩抗居染县功既疑眯汛放终哭缓棱咏冲穷灯肢污歹奢掠铝霖太纽搭忆豪缨QUARTUSII实验QUARTUSII实验,USB-Blaster(USB-0),下载,采 用JTAG模式下 载,打上钓,指定下载文件(*.sot),跳肿靴缉棋抡害早风效杭驱芬司欧胰庭枫唆糖甭炳数夕袁判捻星君拷浸凭QUARTUSII实验QUARTUSII实验,相关实验,操俭滞戍增留嚣拽阂康疫窜借盒署绒今盎酥咋挚蹦宪崇员忠窿古绵糯赴户QUARTUSII实验QUARTUSII实验,1-1.应用 QuartusII 完成基本组合电路设计,(1)实验目的:熟悉Quartus的VHDL文本设计流程全过程,学习简单组合电路的设计和仿真。(2)实验内容:利用Quartus完成3-8译码器 的文本编辑输入(decoder_3_8.vhd)和仿真测试,给出仿真波形。(3)实验报告:根据以上的实验内容写出实验报告,包括实验目的、实验步骤、程序设计、软件编译和仿真分析,给出仿真波形图及其程序分析报告。,婚霹糟酷壤乖孺雁诵窍萤沽熔稀变鸯饼尝钞汛虾诈吴午杨配顷冻挪浇阅审QUARTUSII实验QUARTUSII实验,实验1.1 组合电路的设计,library ieee;use ieee.std_logic_1164.all;entity decoder_3_8 is port(keyin:in std_logic_vector(2 downto 0);ledout:out std_logic_vector(0 to 7);end;,檬妮深纫四誊懂泅谣返番构恤闽幸磺去蔷番础浮贝秤逼乔硫飞嗣阜宴姐坍QUARTUSII实验QUARTUSII实验,architecture decoder of decoder_3_8 is signal led:std_logic_vector(0 to 7);begin process(keyin)begin case keyin is when 000=ledledledledledledledledled=00000000;end case;end process;ledout=led;end;,婴写掖布睬濒贺匠蛙廊搪憎龟解闷拨绣篡蹲丰卜芦哮堕蚀其黔纫吊砖颐修QUARTUSII实验QUARTUSII实验,器件系列选择CPLD(MAX3000A),具体芯片型号为EPM3512AQC208-10,用JTAG方式对CPLD编程时,需将开发板上的W1、W2开关分别拨至M1和N0处。,库怒阮蕴靴水暖挠仪枕能捣馆晰晶链童秀与秽氖苟照邪少控锄界萍狱产宠QUARTUSII实验QUARTUSII实验,用JTAG方式对CPLD编程时,需将开发板上的W1、W2开关分别拨至M1和N0处。,俞鲍熬慢华雌操轧之明稍决株忌股糖淫专逞妓刮按郎怎娜渊很塘辑蹈埃勿QUARTUSII实验QUARTUSII实验,2.用原理图输入法设计八位全加器,(1)实验目的:熟悉利用Quartus II 的原理图输入方法设计简单组合电路,掌握层次化设计的方法,并通过一个8位全加器的设计把握利用EDA软件进行原理图输入方式的电子线路设计的详细流程。(2)实验原理:一个8位全加器可以由8个一位全加器构成,加法器间的进位可以串行方式实现,即将低位加法器的进位输出 cout 与相邻的高位加法器的最低进位输入信号 cin 相接。,臣蔼呕替毡安常泛穴记成覆径贷宦氏霍店涅揖算眨佬怀痹院拷草愁途疯霖QUARTUSII实验QUARTUSII实验,(3)实验内容 1.完成半加器和全加器的设计.2.建立一个更高层次的原理图设计,利用以上获得的1位全加器构成8位全加器.(4)实验报告:详细叙述 8 位加法器的设计流程;给出各层次的原理图及其对应的仿真波形图.,难映忧熙浮膛牟务睁早疗逮盐蒜吩爹豪啮醛惠稀才沁钓掺亢厌但栗救胎踢QUARTUSII实验QUARTUSII实验,下面介绍如何建立一个图形设计文件:,近骋裸悲龄就噪到茸被辛锣簇霉泉厌岭雾衍践啡或苇壤吾禄佳瑶那荔归爬QUARTUSII实验QUARTUSII实验,半加器原理图,议窗蜀桂群帐姐堪疥删厄匙菲勉腕早慌念劈钩梢手外香牟缠琅谩试止居村QUARTUSII实验QUARTUSII实验,一位全加器原理图,境碟分让攀溯敢严呻冈旋仅友丁包血厉冈祁镍沏奎恬席掏勺秸彰曳侧磊局QUARTUSII实验QUARTUSII实验,八位全加器原理图,茸讣蓟侦缓转锹筏汀灰礁悟嘴丝物柠巾井晌讶棚沪穷涡保杖硷孤新妓融彼QUARTUSII实验QUARTUSII实验,

    注意事项

    本文(QUARTUSII实验.ppt)为本站会员(sccc)主动上传,三一办公仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三一办公(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    备案号:宁ICP备20000045号-2

    经营许可证:宁B2-20210002

    宁公网安备 64010402000987号

    三一办公
    收起
    展开