欢迎来到三一办公! | 帮助中心 三一办公31ppt.com(应用文档模板下载平台)
三一办公
全部分类
  • 办公文档>
  • PPT模板>
  • 建筑/施工/环境>
  • 毕业设计>
  • 工程图纸>
  • 教育教学>
  • 素材源码>
  • 生活休闲>
  • 临时分类>
  • ImageVerifierCode 换一换
    首页 三一办公 > 资源分类 > DOC文档下载  

    电子琴控制系统设计(单片机课程设计报告).doc

    • 资源ID:4267621       资源大小:212.50KB        全文页数:19页
    • 资源格式: DOC        下载积分:16金币
    快捷下载 游客一键下载
    会员登录下载
    三方登录下载: 微信开放平台登录 QQ登录  
    下载资源需要16金币
    邮箱/手机:
    温馨提示:
    用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP免费专享
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    电子琴控制系统设计(单片机课程设计报告).doc

    基于ADuC848嵌入式系统的电子琴控制系统设计课程设计学院: 专业: 年级: 指导老师: 学生姓名: 日期: 目录电子琴控制器功能描述:3设计任务:3电路连接图:4(一)定时计数器的工作方式及控制字41工作方式052工作方式153:工作方式254工作方式35系统软件流程图:6总结19电子琴控制器功能描述:设计一简易电子琴,要求能够发出1,2,3,4,5,6,7等7个音符,具有一般演奏功能。主要功能如下:1)具有一般演奏功能,利用所给键盘的1,2,3,4,5,6,7,8八个键,能够发出8个不同的音调,并且要求按下按键发声,松开延时一段时间停止,中间再按别的键则发另一个音调的声音2)具有自动播放已存曲目功能3)显示当前正在播放的歌曲的名称和歌词4)显示北京时间设计任务:本设计以ADuC848单片机为核心,声音的频谱范围约在几十到几千赫兹,若能利用程序来控制单片机某个口线不断的输出“高”“低”电平,则在该口线上就能产生一定频率的方波,将该方波接上喇叭就能发出一定频率的声音,若再利用程序控制“高”“低”电平的持续时间,就能改变输出波形的频率,从而改变音调。乐曲中,每一音符对应着确定的频率,如果单片机某个口线输出“高”“低”电平的频率和某个音符的频率一样,那么将此口线接上喇叭就可以发出此音符的声音。本系统就是根据此原理设计,对于ADuC848单片机来说要产生一定频率的方波大致是先将某口线输出高电平然后延时一段时间再输出低电平,如此循环的输出就会产生一定频率的方波,通过改变延时的时间就可以改变输出方波的频率,而单片机延时主要有两种方法:第一种方法是使用循环语句来实现延时,让单片机循环的执行某条指令然后根据单片机每条指令运行的时间以及循环的次数来计算延时时间。第二种方法是使用单片机的定时计数器延时。ADuC848单片机内部有两个16位的定时计数器T0和T1,单片机的定时计数器实际上是个计数装置它既可以对单片机的内部晶振驱动时钟计数也可以对外部输入的脉冲计数,对内部晶振计数时称为定时器,对外部时钟计数时称为计数器。当对单片机的内部晶振驱动时钟计数时,每个机器周期定时计数器的计数值就加1,当计数值达到计数最大值时计数完毕并通知单片机的CPU;对外部输入的时钟信号计数时,外部时钟的每个时钟上升沿定时计数器的计数值就加1,当计数值达到计数最大值时计数完毕并通知单片机的CPU。因此,如果知道单片机的机器周期或者外部输入时钟信号的周期,单片机就可以根据定时器的计数值计算出定时的时间。用此方法定时十分准确,想得到多大的延时时间就可以给定时器赋一定的计数初值,定时器从预先设置的计数初值开始不断增1当增加到计数最大值时计数完毕,调整计数初值的大小就可以调整定时器定时的时间,从而达到准确的延时。本系统中就采用第二种方法通过定时/计数器延时。电路连接图:(一)定时计数器的工作方式及控制字特殊功能寄存器中TMOD和TCON是定时器的方式控制寄存器。图2为TMOD寄存器的内部结构,图3为TCON寄存器的内部结构。TMOD和TCON是寄存器的名称,我们在写程序时就可以直接用这个名称来指定它们,当然也可以直接用它们的地址89H和88H来指定它们。用于T1用于T0GATEC/TM1M0GATEC/TM1M0图2 TMON用于定时/计数器用于中断TF1TR1TF0TR0IE1IT1IE0IT0图3 TCON单片机定时计数器有四种工作方式,方式0、方式1、方式2、方式3,除方式3外,T0和T1有完全相同的工作状态1工作方式0定时器,计数器的工作方式O称之为13位定时计数方式。它由TL(10)的低5位和TH(0/1)的8位构成13位的计数器,此时TL(10)的高3位未用。对于定时器的工作模式可以根据定时器的寄存器TMOD来设置:M1M0:定时计数器共有四种工作方式,就是用M1M0来控制的,2位正好是四种组合。CT:定时计数器即可作定时用也可用计数用,如果C/T为O就是用作定时器(开关往上打),如果CT为1就是用作计数器(开关往下打)。一个定时计数器同一时刻要么作定时用,要么作计数用,不能同时用的。GATE:当我们选择了定时或计数工作方式后,定时计数脉冲却不一定能到达计数器端,中间还有一个开关,显然这个开关不合上,计数脉冲就没法过去,那么开关什么时候过去呢?有两种情况GATE=0,分析一下逻辑,GATE非后是1,进入或门,或门总是输出1,和或门的另一个输入端INT1无关,在这种情况下,开关的打开、合上只取决于TR1,只要TR1是1,开关就合上,计数脉冲得以畅通无阻,而如果TR1等于0则开关打开,计数脉冲无法通过,因此定时计数是否工作,只取决于TR1。GATE=1,在此种情况下,计数脉冲通路上的开关不仅要由TR1来控制,而且还要受到INT1引脚的控制,只有TRl为1,且INT1引脚也是高电平,开关才合上,计数脉冲才得以通过。这个特性可以用来测量一个信号的高电平的宽度。2工作方式1工作方式1是16位的定时计数方式,将M1M0设为01即可,其它特性与工作方式0相同。3:工作方式28位自动装入时间常数方式。由TL1构成8位计数器,TH1仅用来存放时间常数。看图5所示,每当计数溢出,就会打开T(0/1)的高、低8位之间的开关,计预置数进入低8位。这是由硬件自动完成的,不需要由人工干预。通常这种式作方式用于波特率发生器,用于这种用途时,定时器就是为了提供一个时间基准。计数溢出后不需要做事情,要做的仅仅只有一件,就是重新装入预置数,再开始计数,而且中间不要任何延迟,可见这个任务用工作方式2来完成是最妙不过了。4工作方式32个8位的计数器,只适合于定时器0。这种式作方式之下,定时计数器0被拆成2个独立的定时计数器来用。其中,TL0可以构成8位的定时器或计数器的工作方式,而THO则只能作为定时器来用。我们知道作定时、计数器来用需要控制位TR0,计满后溢出需要有溢出标记TF0。T0被分成两个来用,那就要两套控制及溢出标记,TLO还是用原来的T0的标记,而TH0则借用T1的标记。如此T1就无标记、控制可用因此一般只有在T1以工作方式2运行(当波特率发生器用)时,才让T0工作于方式3的。音符和音拍与单片机定时器/计数器T0的对应关系:音乐的音符:利用单片机的定时器/计数器T0产生不同频率的方波信号,设单片机的晶振频率为12MHz,则高、中、低音符于单片机定时器/计数器T0的数值对应关系如下表所示:音符频率(Hz)数值音符频率(Hz)数值音符频率(Hz)数值低1DO26263628中1DO52364580高1DO104665058#1DO#27763731#1DO#55464663#1DO#110965085低2RE29463835中2ER58764684高2RE117565110#2RE#31163928#2ER#62264732#2RE#124565134低3M33064021中3M65964777高3M131865157低4FA34964103中4FA69864820高4FA139765178#4FA#37064185#4FA#74064860#4FA#148065198低5SO39264260中5SO78464898高5SO156865217#5SO#41564331#5SO#83164934#5SO#166165235低6LA44064400低6LA88064968高6LA176065252#6LA#46664463#6LA#93264994#6LA#186565268低7SI49464524中7SI98865030高7SI196765283音乐的节拍利用单片机的定时器/计数器T1的延时功能还可以产生音乐不同的节拍,以C调为例,音拍与延时的对应关系如下表:音拍延时时间调4/4125调3/4187调2/4250系统软件流程图:系统设计输入:本实验采用c语言,其主程序如下#include <aduc848.h> #include <intrins.h> #include"test.h"#include"musicode.h"#define uint unsigned int #define uchar unsigned charsbit Beep = P26; /P2.6外接扬声器uchar key,n;unsigned char c7,b7;unsigned char a7=55,53,16; /秒分时uchar code SegTable11=0x03,0x9f,0x25,0x0d,0x99,0x49,0x41,0x1f,0x01,0x09,0xff;uchar code fretab=0x00,0x30,0x2b,0x26,0x24,0x20,0x1c,0x19,0x18; /频率表 void keydelayms(uint t)uint i,j;for(i=0;i<t;i+)for(j=0;j<110;j+);unsigned char GetKey() /行扫描信号为P1.0P1.3,列扫描信号为P2.02.3unsigned char temp;P1&=0xf0; /P1的低四位置零P2&=0xf0; temp=P1&0x0f;/temp的高四位为零,低四位与P1相同if(temp!=0x0f)/temp的低四位置1,即P1的低四位全为1时开始执行下面程序keydelayms(10); /延时消抖if(temp=(P1&0x0f) /再次确认是否有键按P2|=0x0e; /位或,之后值赋予P2,此时P2.0有效即扫描第一列的键盘switch(P1&0x0f) /位与case 0x0e:return 1;/P1=0000 1110,即P1.0=0,为低电平有效,case 0x0d:return 2;/P1=0000 1101,即P1.1=0case 0x0b:return 3;case 0x07:return 4;case 0x0f:break;default:return 0x80;P2&=0xf0;/P2的低四位置零P2|=0x0d; /P2.1有效,即扫描第二列的键盘switch(P1&0x0f) /P1的高四位置0,低四位不变case 0x0e:return 5;/P1=0000 1110,case 0x0d:return 6;case 0x0b:return 7;case 0x07:return 8;case 0x0f:break;default:return 0x80;P2&=0xf0;P2|=0x0b; /P2.2有效,即扫描第三列的键盘switch(P1&0x0f)case 0x0e:return 9;case 0x0d:return 10;case 0x0b:return 11;case 0x07:return 12;case 0x0f:break;default:return 0x80;P2&=0xf0;P2|=0x07; /P2.3有效,即扫描第四列的键盘switch(P1&0x0f)case 0x0e:return 13;case 0x0d:return 14;case 0x0b:return 15;case 0x07:return 0;case 0x0f:break;default:return 0x80; return 0x55;void fredelay(uchar t)uchar i=3*t;while(-i);void delayms(unsigned char a) /豪秒延时子程序 while(-a); void main()unsigned char p,m; unsigned int i=0;unsigned char *sound; TMOD&=0x0f; TMOD|=0x01; /模式控制寄存器 tmod=0000 0001 16位定时器工作在方式1 TH0=0xd0;TL0=0xef; IE=0x82; /IE=1000 0010开定时器0中断while(1) start: n=0x40; /发声的时间,大概600多msBeep=0; /关蜂鸣器(Beep是一个产生方波频率的信号)key=GetKey();P0=SegTablekey;if(key<9)m=fretabkey;key=10; /防止重复发声TR0=1; /启动定时器0while(n!=0)Beep=Beep; /Beep=1,开启蜂鸣器fredelay(m); /延时程序,产生方波TR0=0;goto start; Beep=0;switch(key) case 9:sound=oldboy;break;case 10:sound=songbie;break;case 11:sound=bygh;break;case 13:goto clock;break;default:goto start;break;while(1) a: p=soundi;if(p=0x00) /如果碰到结束符,延时1秒,回到开始再来一遍i=0;delayms(1000);goto start;else if(p=0xff) /若碰到休止符,延时100ms,继续取下一音符 i=i+1;delayms(100),TR0=0;goto a; else m=soundi+,n=soundi+; /取频率常数 和 节拍常数 TR0=1;while(n!=0) Beep=!Beep,fredelay(m); /等待节拍完成, 通过P2口输出音频TR0=0; clock:PLLCON&=0xf8; /设置频率为12.58MHz CFG848|=0x01; /使用片内xram I2CCON=0xE8;SetTime(a);SwitchRTC(1); while(1) ReadTime(b); c0=b0%10; c1=b0/10; c2=22; c3=b1%10; c4=b1/10; c5=22; c6=b2%10; c7=b2/10; saomiao(10,c0,c1,c2,c3,c4,c5,c6,c7); void t0() interrupt 1 /采用中断0 控制节拍 TH0=0xd0; TL0=0xef; n-; 乐曲程序musicode:unsigned char code oldboy = /*1*/0x20,0x20,0x20,0x10,0x20,0x10,0x20,0x20,0x20,0x20,0x20,0x20,0x1c,0x20,0x20,0x20,0x24,0x20,0x26,0x20,0x1c,0x20,0x19,0x20,0x18,0x10,0x19,0x10,0x20,0x30,0x01,0x20,0x20,0x10,0x20,0x10,0x1c,0x20,0x30,0x20,0x30,0x20,0x2b,0x20,0x26,0x20,0x20,0x20,0x01,0x20,0x20,0x20,0x24,0x20,0x20,0x20,0x26,0x10,0x2b,0x20,0x30,0x10,0x2b,0x60,/*2*/0x20,0x20,0x20,0x10,0x20,0x10,0x20,0x20,0x20,0x20,0x20,0x20,0x1c,0x20,0x20,0x20,0x24,0x20,0x26,0x20,0x1c,0x20,0x19,0x20,0x18,0x10,0x19,0x10,0x20,0x30,0x01,0x20,0x20,0x10,0x20,0x10,0x1c,0x20,0x30,0x20,0x30,0x20,0x2b,0x20,0x26,0x20,0x20,0x20,0x01,0x20,0x20,0x20,0x24,0x20,0x20,0x20,0x26,0x10,0x2b,0x20,0x30,0x10,0x2b,0x60,/11767112377550x30,0x40,0x18,0x20,0x19,0x20,0x1c,0x20,0x19,0x10,0x18,0x10,0x18,0x20,0x2b,0x20,0x13,0x20,0x19,0x20,0x19,0x20,0x20,0x10,0x20,0x10,/632211170x1c,0x20,0x13,0x10,0x15,0x10,0x15,0x20,0x18,0x20,0x18,0x40,0x18,0x20,0x19,0x20,/67112377550x1c,0x20,0x19,0x10,0x18,0x10,0x18,0x20,0x15,0x20,0x13,0x20,0x19,0x20,0x19,0x20,0x20,0x10,0x20,0x10,/6322110x1c,0x20,0x13,0x10,0x15,0x10,0x15,0x20,0x18,0x20,0x18,0x60,/000000x01,0x40,0x01,0x40,0x01,0x40,0x01,0x40,0x01,0x60,/1155555 5777550x18,0x10,0x18,0x10,0x10,0x20,0x10,0x10,0x10,0x10,0x10,0x20,0x10,0x20,0x10,0x20,0x19,0x10,0x19,0x10,0x19,0x20,0x20,0x10,0x20,0x10,/555565111120x10,0x20,0x10,0x10,0x10,0x10,0x10,0x20,0x0e,0x20,0x10,0x20,0x18,0x10,0x18,0x10,0x18,0x20,0x18,0x10,0x15,0x10, /32443324430x13,0x20,0x15,0x10,0x12,0x10,0x12,0x20,0x13,0x20,0x13,0x20,0x15,0x10,0x12,0x10,0x12,0x20,0x13,0x20,/324430x13,0x20,0x15,0x10,0x12,0x10,0x12,0x20,0x13,0x20,/55110x10,0x40,0x10,0x20,0x18,0x10,0x18,0x10,/555555777550x10,0x20,0x10,0x10,0x10,0x10,0x10,0x20,0x10,0x20,0x10,0x20,0x19,0x10,0x19,0x10,0x19,0x20,0x20,0x10,0x20,0x10,/555565111120x10,0x20,0x10,0x10,0x10,0x10,0x10,0x20,0x0e,0x20,0x10,0x20,0x18,0x10,0x18,0x10,0x18,0x20,0x18,0x10,0x15,0x10,/32443321710x13,0x20,0x15,0x10,0x12,0x10,0x12,0x20,0x13,0x20,0x13,0x20,0x15,0x10,0x18,0x10,0x18,0x20,0x19,0x10,0x18,0x60,0x01,0x40,0x01,0x40,/第二次 /*3*/0x20,0x20,0x20,0x10,0x20,0x10,0x20,0x20,0x20,0x20,0x20,0x20,0x1c,0x20,0x20,0x20,0x24,0x20,0x26,0x20,0x1c,0x20,0x19,0x20,0x18,0x10,0x19,0x10,0x20,0x30,0x01,0x20,0x20,0x10,0x20,0x10,0x1c,0x20,0x30,0x20,0x30,0x20,0x2b,0x20,0x26,0x20,0x20,0x20,0x01,0x20,0x20,0x20,0x24,0x20,0x20,0x20,0x26,0x10,0x2b,0x20,0x30,0x10,0x2b,0x60,/*4*/0x20,0x20,0x20,0x10,0x20,0x10,0x20,0x20,0x20,0x20,0x20,0x20,0x1c,0x20,0x20,0x20,0x24,0x20,0x26,0x20,0x1c,0x20,0x19,0x20,0x18,0x10,0x19,0x10,0x20,0x30,0x01,0x20,0x20,0x10,0x20,0x10,0x1c,0x20,0x30,0x20,0x30,0x20,0x2b,0x20,0x26,0x20,0x20,0x20,0x01,0x20,0x20,0x20,0x24,0x20,0x20,0x20,0x26,0x10,0x2b,0x20,0x30,0x10,0x2b,0x60,/11767112377550x30,0x40,0x18,0x20,0x19,0x20,0x1c,0x20,0x19,0x10,0x18,0x10,0x18,0x20,0x2b,0x20,0x13,0x20,0x19,0x20,0x19,0x20,0x20,0x10,0x20,0x10,/632211170x1c,0x20,0x13,0x10,0x15,0x10,0x15,0x20,0x18,0x20,0x18,0x40,0x18,0x20,0x19,0x20,/67112377550x1c,0x20,0x19,0x10,0x18,0x10,0x18,0x20,0x15,0x20,0x13,0x20,0x19,0x20,0x19,0x20,0x20,0x10,0x20,0x10,/6322110x1c,0x20,0x13,0x10,0x15,0x10,0x15,0x20,0x18,0x20,0x18,0x60,/335350x01,0x40,0x01,0x40,0x01,0x40,0x01,0x40,0x01,0x60,/1155555 5777550x18,0x10,0x18,0x10,0x10,0x20,0x10,0x10,0x10,0x10,0x10,0x20,0x10,0x20,0x10,0x20,0x19,0x10,0x19,0x10,0x19,0x20,0x20,0x10,0x20,0x10,/555565111120x10,0x20,0x10,0x10,0x10,0x10,0x10,0x20,0x0e,0x20,0x10,0x20,0x18,0x10,0x18,0x10,0x18,0x20,0x18,0x10,0x15,0x10, /32443324430x13,0x20,0x15,0x10,0x12,0x10,0x12,0x20,0x13,0x20,0x13,0x20,0x15,0x10,0x12,0x10,0x12,0x20,0x13,0x20,/324430x13,0x20,0x15,0x10,0x12,0x10,0x12,0x20,0x13,0x20,/55110x10,0x40,0x10,0x20,0x18,0x10,0x18,0x10,/555555777550x10,0x20,0x10,0x10,0x10,0x10,0x10,0x20,0x10,0x20,0x10,0x20,0x19,0x10,0x19,0x10,0x19,0x20,0x20,0x10,0x20,0x10,/555565111120x10,0x20,0x10,0x10,0x10,0x10,0x10,0x20,0x0e,0x20,0x10,0x20,0x18,0x10,0x18,0x10,0x18,0x20,0x18,0x10,0x15,0x10,/32443321710x13,0x20,0x15,0x10,0x12,0x10,0x12,0x20,0x13,0x20,0x13,0x20,0x15,0x10,0x18,0x10,0x18,0x20,0x19,0x10,0x18,0x60,0x01,0x40,0x01,0x40,/结尾/3217121334233420x13,0x20,0x15,0x20,0x18,0x30,0x19,0x10,0x18,0x10,0x15,0x10,0x18,0x40,0x13,0x40,0x13,0x40,0x12,0x40,0x15,0x40, 0x01,0x40,0x01,0x40,0x01,0x40,0x01,0x40,0x01,0x60,0x00 ; unsigned char code songbie=0x20,0x40,0x26,0x20,0x20,0x20,0x60,0x60, /3231长亭外0x1c,0x40,0x60,0x40,0x20,0x60, /615 古道边0x20,0x40,0x30,0x20,0x2b,0x20,0x26,0x40,0x2b,0x20,0x30,0x20,0x2b,0x60,/芳草碧连天0x20,0x40,0x26,0x20,0x20,0x20,0x60,0x40,0x19,0x20,0x1c,0x40,0x60,0x40,0x20,0x60,0x20,0x40,0x2b,0x20,0x26,0x20,0x24,0x40,0x0d,0x20,0x30,0x40,0x00 ;unsigned char code bygh = /*1*/0x18, 0x30,/*6*/ 0x1C , 0x10, /格式为: 频率常数, 节拍常数, 频率常数, 节拍常数, /*5*/0x20, 0x40,/*6*/ 0x1C , 0x10, /*1*/0x18, 0x10,/*5*/ 0x20 , 0x10, /*6*/0x1C, 0x10,/*1*/0x18 , 0x40, /*6*/0x1C, 0x20,/*5*/ 0x20 , 0x20, /*6*/0x1C, 0x20,/*1*/ 0x18 , 0x20, /*5*/0x20, 0x80, 0xFF , /*5*/0x20,0x30,/*6*/ 0x1C, 0x10 , /*1*/0x18,0x20,/*2*/ 0x15, 0x20 ,/*6*/0x1C,0x20,/*5*/ 0x20, 0x20 ,/*3*/0x26,0x40,/*5*/0x20, 0x20 , /*2*/0x2B,0x20,/*3*/ 0x26, 0x20 ,/*5*/0x20,0x20,/*1*/ 0x30, 0x80 , 0xFF, /*5*/0x20, 0x20, /*6*/0x1C , 0x10, /*1*/0x18, 0x10,/*5*/ 0x20 , 0x20, /*3*/0x26, 0x20,/*2*/ 0x2B , 0x20, /*1*/0x30, 0x20,/*1*/0x2B , 0x40, /*5*/0x20, 0x20,/*6*/ 0x1C , 0x10, /*1*/0x18, 0x10,/*5*/ 0x20 , 0x20, /*3*/0x26, 0x20,/*2*/ 0x2B , 0x20, /*1*/0x30, 0x20,/*2*/ 0x2B , 0x40, /*5*/0x20, 0x30,/*6*/ 0x1C , 0x10, /*1*/0x18, 0x20,/*2*/ 0x15 , 0x20, /*6*/0x1C, 0x20,/*5*/ 0x20 , 0x20, /*3*/0x26, 0x40,/*5*/0x20 , 0x20, /*2*/0x2B, 0x20,/*3*/ 0x26 , 0x20, /*5*/0x20, 0x20,/*1*/ 0x30 , 0x80, /* /*5*/0x20, 0x30,/*6*/ 0x1C , 0x10, /*1*/0x20, 0x10,/*6*/ 0x1C , 0x10, /*5*/0x20, 0x20,/*3*/ 0x26 , 0x20, /*2*/0x2B, 0x20,/*1*/ 0x30 , 0x20, /*2*/0x2B, 0x40,/*5*/ 0x20 , 0x15, 0x1F, 0x05, 0x20 , 0x10, 0x1C, 0x10, 0x20 , 0x20, 0x26, 0x20, 0x2B , 0x20, 0x30, 0x20, 0x2B , 0x40, 0x20, 0x30, 0x1C , 0x10, 0x18, 0x20, 0x15 , 0x20, 0x1C, 0x20, 0x20 , 0x20, 0x26, 0x40, 0x20 , 0x20, 0x2B, 0x20, 0x26 , 0x20, 0x20, 0x20, 0x30 , 0x30, 0x20, 0x30, 0x1C , 0x10, 0x18, 0x40, 0x1C , 0x20, 0x20, 0x20, 0x26 , 0x40, 0x13, 0x60, 0x18 , 0x20, 0x15, 0x40, 0x13 , 0x40, 0x18, 0x80, 0x00 总结转眼间两周的课程设计结束了,看似很长的时间真正的做起事来并不感觉时间充足。在这次的课程设计中不仅检验了我所学习的知识,也培养了我如何去把握一件事情,如何去做一件事情,又如何完成一件事情。在设计过程中,与同学分工设计,和同学们相互探讨,相互学习,相互监督。学会了合作,学会了运筹帷幄,学会了宽容,学会了理解,也学会了做人与处世。这次的课程设计是我懂得了看似简单的东西其实并不简单,因为这正处理起来许多细节的东西都必须考虑。课程设计是我们专业课程知识综合应用的实践训练,着是我们迈向社会,从事职业工作前一个必不少的过程”千里之行始于足下”,通过这次课程设计,我深深体会到这句千古名言的真正含义我今天认真的进行课程设计,学会脚踏实地迈开这一步,就是为明天能稳健地在社会大潮中奔跑打下坚实的基础

    注意事项

    本文(电子琴控制系统设计(单片机课程设计报告).doc)为本站会员(牧羊曲112)主动上传,三一办公仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三一办公(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    备案号:宁ICP备20000045号-2

    经营许可证:宁B2-20210002

    宁公网安备 64010402000987号

    三一办公
    收起
    展开