用VHDL语言实现的数码管显示程序(包含动态和静态).doc
-
资源ID:4150003
资源大小:13KB
全文页数:2页
- 资源格式: DOC
下载积分:8金币
友情提示
2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
|
用VHDL语言实现的数码管显示程序(包含动态和静态).doc
library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity shumaguan isport(clk:in std_logic; x:out std_logic_vector(7 downto 0); seg:out std_logic_vector(7 downto 0);end entity;architecture behave of shumaguan issignal clk1:std_logic;beginprocess(clk)variable count:integer range 0 to 49999999;begin if(clk'event and clk='1') then if(count=20000000) then -动态 -if(count=1000) then -静态 count:=0; clk1<=not clk1;else count:=count+1;end if; end if;end process;process(clk1)variable a:integer range 1 to 8;beginif(clk1'event and clk1='1') then if(a=8) then a:=1; else a:=a+1; end if;end if;case a is when 1=>x<="01111111"seg<="11111001" when 2=>x<="10111111"seg<="10100100" when 3=>x<="11011111"seg<="10110000" when 4=>x<="11101111"seg<="10011001" when 5=>x<="11110111"seg<="10010010" when 6=>x<="11111011"seg<="10000010" when 7=>x<="11111101"seg<="11111000" when 8=>x<="11111110"seg<="10000000" when others=>null; end case; end process;end behave;