欢迎来到三一办公! | 帮助中心 三一办公31ppt.com(应用文档模板下载平台)
三一办公
全部分类
  • 办公文档>
  • PPT模板>
  • 建筑/施工/环境>
  • 毕业设计>
  • 工程图纸>
  • 教育教学>
  • 素材源码>
  • 生活休闲>
  • 临时分类>
  • ImageVerifierCode 换一换
    首页 三一办公 > 资源分类 > DOC文档下载  

    毕业设计基于FPGA的FIR数字低通滤波器的设计.doc

    • 资源ID:4149482       资源大小:1.03MB        全文页数:53页
    • 资源格式: DOC        下载积分:8金币
    快捷下载 游客一键下载
    会员登录下载
    三方登录下载: 微信开放平台登录 QQ登录  
    下载资源需要8金币
    邮箱/手机:
    温馨提示:
    用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP免费专享
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    毕业设计基于FPGA的FIR数字低通滤波器的设计.doc

    毕 业 设 计(论 文)题目(中文): 基于FPGA的FIR数字低通滤波器的设计 (英文): The Design of FIR Digital Filter Based on FPGA 系 部 电子与信息工程系 专业班级 电子信息工程08秋1班 学生姓名 胡 修 远 学 号 08031145 指导教师 刘浩斌 张 葵 完 成 日 期 2012年 04月上海师范大学天华学院本科毕业设计(论文)诚信声明本人郑重声明:所呈交的毕业设计(论文),题目基于FPGA的FIR数字低通滤波器的设计是本人在指导教师的指导下,进行研究工作所取得的成果。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式注明。除此之外,本设计(论文)不包含任何其他个人或集体已经发表或撰写过的作品成果。本人完全意识到本声明应承担的法律责任。作者(签名): 日期: 2012年 04月27日 毕业设计(论文)任务书(2012 届)题目(中文): 基于FPGA的FIR数字低通滤波器的设计 (英文): The Design of FIR Digital Filter Based on FPGA 系 部 电子与信息工程系 专业班级 电子信息工程08秋1班 学生姓名 胡 修 远 学 号 08031145 指导教师 刘浩斌 张 葵 系负责人 (签章) 日 期: 2011 年 10 月 1、 毕业设计(论文)的主要内容与具体要求(任务及背景、工作环境、成果形式、着重培养的能力、有实验环节的要提出主要技术指标、要求)(一)毕业设计(论文)主要内容及主要技术指标、要求1.主要内容 在数字信号处理中,利用数字滤波器可改变信号中所含频率分类的相对比例或滤除某些频率分量,使其达到所需要的效果。其中数字FIR滤波器由于具有精确的线性相位,且系统稳定,所以广泛应用于通信、数字图像处理、语音信号处理等方面。在平台级FPGA器件中集成了数字信号处理的硬件模块之后,利用FPGA实现数字信号处理算法可以满足信号处理系统所提出的高性能要求,因此得到越来越广泛的应用。(1)对数字滤波器进行研究,初步了解其在国内外的相关发展。方式:要求学生结合网络进行查找、学习相关文献资料,对研究对象进行初步的了解。(2)对FIR低通滤波器设计的方法进行研究,熟悉设计过程。方式:根据相关知识内容及指导教师提供的PDF文档进行整理学习,熟练掌握其理论知识。(3)了解Altera公司将MathWorks MATLAB和Simulink系统级设计工具DSP Builder的算法开发,仿真和验证功能。(4)结合前期对FIR低通滤波器设计方法以及FPGA开发知识的了解和学习,规划本毕业设计的主体框架。(5)实现和仿真具体实现要求:根据滤波器指标,利用MATLAB滤波器设计工具设计一低通滤波器;构建相关测试信号对设计的滤波器进行测试和分析;然后根据实际需要将系统导出并量化,在Simulink中使用Simulink库和DSP Builder库建立设计模型,并在Simulink中仿真;最后将模型转换成为VHDL代码下载到FPGA进行硬件测试。(可选) (二)毕业设计(论文)的基本要求1.认真、独立完成不少于5000字或10000字符的外文科技文献译文,按照学校规定的译文要求和格式撰写。2.认真、独立完成不少于10000字的毕业设计(论文)报告的写作,按照学校规定的论文要求和格式撰写。3.毕业设计报告要求包含:(1)数字滤波器在国内外的发展及FIR低通滤波器设计方法的研究(2)FIR低通滤波器的MATLAB实现(3)Matlab仿真的结果,包括各个阶段信号的曲线(4)Simulink仿真的结果,包括各个阶段信号的曲线4.及时与指导老师进行沟通,按计划完成毕业设计(论文)报告的写作和答辩工作。二、毕业设计(论文)进度安排起讫日期工作内容备 注1. 2011.10.17 10.21(第7周)完成英文资料翻译2. 2011.10.22 10.28(第8周)接受毕业设计(论文)任务书。查阅文献资料、撰写文献综述报告,准备参考资料3. 2011.10.29 11.11(第910周)完成毕业设计(论文)开题报告4. 2011.11.12 12.30(第1119周)开始毕业设计,按照设计要求,进行完成设计方案 5. 2012.1.14 2.20寒假6. 2012.2.21 3.2(第12周)毕业设计中期检查7. 2012.3.3 3.23(第35周)设计仿真、软硬件联调,实验测试8. 2012.3.26 4.22(第610周)整理、撰写毕业设计报告9.2012.4.23 4.30(第11周)答辨三、所需的资料和主要参考文献参考资料:1 马建国,孟宪元. 电子设计自动化技术基础M.北京:清华大学出版社, 20042 孟宪元,钱伟康. FPGA嵌入式系统设计M.北京:电子工业出版社, 2007 3 Michael D.Ciletti. Verilog HDL高级数字设计M.北京:电子工业出版社, 20054 徐光辉,程东旭,黄如等. 基于FFGA的嵌入式开发和应用M.北京:电子工业出版社,20065 Steve Kilts. Advanced FPGA DesignM.New York:Wiley-IEEE Press, 20076 D.A.Pucknell,K.Eshraghian. 超大规模集成电路设计基础系统与电路M.北京:科学出版社,19937 刘明彰. 基于FPGA的嵌入式系统设计M.北京:国防出版社, 20078 夏宇闻. Verilog数字系统设计教程M.北京:北京航空航天大学出版社, 20039 Altera Corpoation,San Jose,CA. DSP Builder User GuideEB/OL,2007 10 Altera Corpoation. Stratix DeviceEB/OL,2004注:1. 本任务书一式两份,须双面打印。由指导教师填写并经所在系审核确认后交系部;2. 本任务书一份须与学生的毕业设计(论文)一并存档,另一份系部存档;3. 指导教师、学生可各执一份复印件,供检查论文进度时使用。上海师范大学天华学院 2012 届毕业设计(论文)开题报告设计(论文)题目基于FPGA的FIR数字低通滤波器的设计学生姓名胡修远学 号08031145专业、班级电子信息工程08秋1班系 部电子与信息工程系指导教师姓名/职称刘浩斌 张葵/副教授 讲师建议从以下方面填写:1. 简述课题的作用和意义2. 国内外的现状和发展趋势等情况(文献综述),尚待解决的问题;3. 重点介绍完成任务的可能思路、方案和计划;4. (工科类专业需填写)所需的主要仪器和设备等。1、课题的作用和意义在当今的生活中,身边的工程技术领域越来越受到关注。其中的通信领域所涉及到的各种信号更是重中之重。如何在较强的背景的噪声下和干扰的信号下有效提炼出真正的有用信号并将其真正运用到实际的工程中,这正是信号处理要解决的问题。上世纪60年代,数字信号处理在理论层上发展迅猛。其体系和框架逐渐成熟,如今,数字信号处理已经成为一门完整的学科。而数字滤波器在这门学科中占有很重要的地位。它涉及到的领域很广,如通信系统,系统控制,生物医学工程,机械振动,遥感遥测,地质勘探,故障检测,电力系统,航空航天,自动化仪器等。数字滤波器的好坏对相关的众多工程技术领域影响很大,一个好的数字滤波器会有效的推动众多的工程技术领域改造和学科发展。所以对数字滤波器的工作原理,硬件结构和实现方法进行研究具有一定的意义。 FPGA(FieldProgrammable Gate Array),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。 FPGA采用了逻辑单元阵列LCA(Logic Cell Array)这样一个概念,内部包括可配置逻辑模块CLB(Configurable Logic Block)、输出输入模块IOB(Input Output Block)和内部连线(Interconnect)三个部分。 现场可编程门阵列(FPGA)是可编程器件。与传统逻辑电路和门阵列(如PAL,GAL及CPLD器件)相比,FPGA具有不同的结构,FPGA利用小型查找表(16×1RAM)来实现组合逻辑,每个查找表连接到一个D触发器的输入端,触发器再来驱动其他逻辑电路或驱动I/O,由此构成了即可实现组合逻辑功能又可实现时序逻辑功能的基本逻辑单元模块,这些模块间利用金属连线互相连接或连接到I/O模块。FPGA的逻辑是通过向内部静态存储单元加载编程数据来实现的,存储在存储器单元中的值决定了逻辑单元的逻辑功能以及各模块之间或模块与I/O间的联接方式,并最终决定了FPGA所能实现的功能, FPGA允许无限次的编程.2、国内外现状和发展趋势FPGA正处于高速发展时期,新型芯片的规模越大,成本也越来越低,低端的FGGA已逐步取代了传统的数字元件,高端的FPGA将会成为今后竞争的主流。自1985年问世以来,FPGA从集成电路与系统家族一个不起眼的小角色逐渐成为电子设计领域的重要器件。它极大地提高了设计灵活性并缩短了产品上市时间,在通信、工业控制、航空领域中广泛应用。FPGA行业集中度很高,几家美国公司掌握着行业的“制空权”。特别是在航空航天及军工等特殊领域,美国等少数国家对先进的技术保持封锁。因此,发展国内FPGA产业不是要不要的问题,而是怎么发展的问题。国内IC企业介入FPGA的时间并不长,多数公司还处于学习阶段。由于FPGA功能内核可使设计更加高效,随着FPGA的芯片密度超过10万门,FPGA的设计越来越接近于ASIC设计。为了提高开发效率,增加已有成果的可继承性,同时缩短开发周期,在FPGA设计中,已经大量使用了HDL语言(包括VHDL语言和VERILOG语言),这样做可以大大降低硬件电路设计的难度,根据系统的行为和功能需求,自上而下地逐层进行描述、综合、优化、仿真与验证,直到完成整个器件的设计。但由于不同厂商的FPGA芯片结构有很大的不同,导致HDL的设计方法不能提供FPGA布局布线的优化和约束,具有一定的局限性。因此,为了达到更高的性能,有必要将FPGA功能内核(FPGA IP CORE)与HDL 语言的设计方法有机地统一起来,使设计更加快速、灵活和高效。VIEWLOGIC 的FPGA设计流程包括VHDL仿真和综合、VERILOG仿真和综合、逻辑图设计工具等,从而组成了一个从状态机设计、功能仿真、综合优化到设计后仿真的完整解决方案。对于这样的混合输入,仿真器进行仿真时,必须具有VHDL仿真、VERILOG仿真和门级电路仿真能力。为此,VIEWLOGIC公司开发了集成仿真测试环境FUSION。3、完成任务的可能思路、方案1.要研究基于FPGA的FIR数字低通滤波器。首先要对FPGA的DSP实现进行研究。如今,利用FPGA实现数字信号处理算法可以满足信号处理系统所提出的高性能要求,因此得到越来越广泛的应用。实现一个基本的数字信号处理系统,需要加法器,乘法器和存储器。FPGA内部包括了上述的所有器件,因而成为了实现DSP的理想选择。要采用FPGA实现DSP算法,必须经过量化。之后还需要选取运算结构,不同的运算结构所需的存储器及乘法器资源是不同的。对系数进行量化并选取适当运算结构后,便可以采用FPGA来实现DSP系统了。基于模型的设计,自动的将高级模型转换成低级描述的方法,这种流程需要预先准备好的库或IP模块。利用FPGA实现DSP系统时,可以利用Altera和Xilinx公司在自动转换成硬件实现的网表文件,再进行布局和布线的实现。MATLABSimulink中预先提供的模块集(Blockset),按照基于模型的方法建立DSP系统的算法模型,在仿真,优化的基础上由DSP Builder和System Generator自动转换成硬件实现的网表文件,再进行布局和布线的实现。2.FIR滤波器可看成一个分节的延时线,把每一节的输出加权累加,即得到滤波器的输出,由图可以看出,FIR滤波器主要由乘法器和加法器组成,可根据需要增减延迟线的长度和乘法器的系数来改变FIR的参数。通过MATLABSimulinkDSP Builder设计滤波器首先根据实际需要将系统导出并量化。接下来在Simulink中使用Simulink库和DSP Builder库建立设计模型,并在Simulink中仿真。在设计过程中,首先要确定滤波器的系数。需要设置的参数有:系统频率,通带截止频率,阻带截止频率,通带最大衰减,阻带最小衰减。自动完成滤波器的设计,并给出滤波器幅频响应图图后,设置导出系数文件的格式与数据类型。可以得到以双精度给出的系数。由于FPGA只能处理定点数,所以应该将系数进行处理。接着就要建立FIR滤波器模型。首先在Simulink中新建模型并搭建乘加子系统。接着加入正弦信号产生模块,Add模块,Input与Output模块,Shift Taps模块,Bus Conversion模块,clock模块,Scope模块。最后连线,完成模型设计。最后在Simulink中仿真。4、设计(论文)进度安排1. 2011.10.1710.21(第7周)完成英文资料翻译。2. 2011.10.2210.28(第8周)接受毕业设计(论文)任务书。查阅文献资料、撰写文献综述报告,准备参考资料。3. 2011.10.2911.11(第910周)完成毕业设计(论文)开题报告。4. 2011.11.1212.30(第1119周)开始毕业设计,按照设计要求,进行完成设计方案。5. 2012.1.142.20(寒假期间)6. 2012.2.213.2(第12周)毕业设计中期检查。7. 2012.3.33.23(第35周)设计仿真、软硬件联调,实验测试8. 2012.3.264.22(第610周)整理、撰写毕业设计报告9. 2012.4.234.30(第11周)答辨5、所需的主要仪器和设备1配备有Windows 2000或XP操作系统的台式计算机2Microsoft office Word 2003 简体中文版3Microsoft office Visio 2010 简体中文版4MATLAB 7.1简体中文版和相应的Simulink版本5DSP Builder8.16、参考文献及资料1 马建国,孟宪元. 电子设计自动化技术基础M.北京:清华大学出版社, 20042 孟宪元,钱伟康. FPGA嵌入式系统设计M.北京:电子工业出版社, 2007 3 Michael D.Ciletti. Verilog HDL高级数字设计M.北京:电子工业出版社, 20054 徐光辉,程东旭,黄如等. 基于FFGA的嵌入式开发和应用M.北京:电子工业出版社,20065 Steve Kilts. Advanced FPGA DesignM.New York:Wiley-IEEE Press, 20076 D.A.Pucknell,K.Eshraghian. 超大规模集成电路设计基础系统与电路M.北京:科学出版社,19937 刘明彰. 基于FPGA的嵌入式系统设计M.北京:国防出版社, 20078 夏宇闻. Verilog数字系统设计教程M.北京:北京航空航天大学出版社, 20039 Altera Corpoation,San Jose,CA. DSP Builder User GuideEB/OL,2007 10 Altera Corpoation. Stratix DeviceEB/OL,200411 潘松,黄继业,王国栋. 现代DSP技术M.西安:西安电子科技大学出版社,200312 任爱锋,初秀琴,常存,孙肖子. 基于FPGA的嵌入式系统设计M.西安:西安电子科技大学出版社,2004 学生姓名 _(签名)日期: 2011年 11月 20日指导教师评语:(建议填写内容:对学生提出的方案给出评语,明确是否同意开题,提出学生完成上述任务的建议、注意事项等) 指导教师 _(签名)日期:2011年11月26日注:1. 本开题报告,须双面打印。由学生填写并经指导老师审核、评价。2. 本开题报告一式两份,一份须与学生的毕业设计(论文)一并存档,一份作系部存档用。目录摘要IABSTRACTII1 绪论11.1 课题的目的和意义11.2 FPGA技术的发展及应用21.3 FPGA软件设计工具Quartus II32 FIR数字滤波器的理论研究及分析52.1 数字滤波器的理论基础52.2 数字滤波器的分类52.3 FIR数字滤波器的设计方法63 FPGA DSP系统设计分析73.1 DSP的基本概念73.2 FPGA实现DSP的特点83.3 DSP Builder设计工具及设计规则94 基于FPGA的FIR低通滤波器设计124.1设计方案124.2 FDATool滤波器设计124.3 FPGA定点数的确定144.3.1导出系数文件144.3.2 FPGA定点数转换154.4 FIR滤波器模型的建立174.4.1乘加子系统的搭建174.4.2 滤波器模块的添加和模块参数设置214.4.3各模块的连接275 SIMULINK仿真295.1 仿真时间设定295.2 示波器模块显示295.3 仿真结果分析316 总结33参考文献34致谢35附录36附录1 FIR滤波器仿真模型图36附录2 FIR滤波器测试模型图37附录3 FPGA定点数转换程序37摘要在现代通信领域中,FIR数字滤波器以其良好的线性特性被广泛使用,属于数字信号处理的基本模块之一。在实践中,往往要求对信号处理有实时性和灵活性,而已有的一些软件和硬件的实现方式则难以同时到达这两方面的要求。随着可编程逻辑器件和FDA技术的发展,使用FPGA来实现FIR滤波器,既具有实时性,又兼顾了一定的灵活性,越来越多的电子工程师采用FPGA器件来实现FIR滤波器。本设计利用MATLAB/Simulink/DSP Builder设计一个FIR滤波器。首先根据滤波器指标,利用MATLAB工具箱滤波器设计工具设计滤波器,然后根据实际需要将系数导出并量化。接下来在Simulink中使用Simulink库和DSP Builder库建立设计模型,并在Simulink中仿真。关键词:FPGA,FIR低通滤波器,DSP Builder,SimulinkAbstractIn the modern communications field, the FIR Digital Filter is used from any practical applications for its good linear phase character, and it provide an important function in digital signal processing design. In practice, there is always a real-time and flexible requirement for signal processing. However, software and hardware techniques available for implementation are difficult to meet the demand for the two aspects in the same time.Along with the development of PLD device and EDA technology, more and more electrical engineers use FPGA to implement FIR Filter, as it not only meet the real-time requirement, but also has some flexibility.This design uses MATLAB / Simulink / DSP Builder to design a FIR Digital Filter . Firstly according to the index of the filter, MATLAB /Toolboxes / Filter Design / Filter Design & Analysis Tool(FDATool) is used to design the filter . Then according to practical requirement derive and quantify the coefficient . Use the Simulink Library and the DSP Builder Library to establish design model and simulate in the Simulink.Key words: FPGA,FIR low pass Filter , DSP Builder , Simulink1 绪论1.1 课题的目的和意义在当今的生活中,身边的工程技术领域越来越受到关注。其中的通信领域所涉及到的各种信号更是重中之重。如何在较强的背景的噪声下和干扰的信号下有效提炼出真正的有用信号并将其真正运用到实际的工程中,这正是信号处理要解决的问题。上世纪60年代,数字信号处理在理论层上发展迅猛。其体系和框架逐渐成熟,如今,数字信号处理已经成为一门完整的学科。其涉及到许多学科而又广泛应用于许多领域,20世纪60年代以来,随着计算机和信息技术的飞速发展,数字信号处理技术应运而生并得到迅速的发展。数字信号处理是一种通过使用数学技巧执行转换或提取信息,来处理现实信号的方法,这些信号由数字序列表示。而数字滤波器在这门学科中占有很重要的地位。数字滤波器是一个离散时间系统(按预定的算法,将输入离散时间信号要求的输出离散时间信号的转换为所特定功能装置)。应用数字滤波器处理模拟信号时,首先须对输入模拟信号进行限带、抽样和模数转换。数字滤波器输入信号的抽样率应大于被处理信号带宽的两倍,其频率响应具有以抽样频率为间隔的周期重复特性,且以折叠频率即1/2抽样频率点呈镜像对称。为得到模拟信号,数字滤波器处理的输出数字信号须经数模转换、平滑。数字滤波器具有高精度、高可靠性、可程控改变特性或复用、便于集成等优点。数字滤波器在语言信号处理、图像信号处理、医学生物信号处理以及其他应用领域都得到了广泛应用。它涉及到的领域很广,如通信系统,系统控制,生物医学工程,机械振动,遥感遥测,地质勘探,故障检测,电力系统,航空航天,自动化仪器等。数字滤波器的好坏对相关的众多工程技术领域影响很大,一个好的数字滤波器会有效的推动众多的工程技术领域改造和学科发展。所以对数字滤波器的工作原理,硬件结构和实现方法进行研究具有一定的意义。FPGA(FieldProgrammable Gate Array),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。FPGA采用了逻辑单元阵列LCA(Logic Cell Array)这样一个概念,内部包括可配置逻辑模块CLB(Configurable Logic Block)、输出输入模块IOB(Input Output Block)和内部连线(Interconnect)三个部分。 现场可编程门阵列(FPGA)是可编程器件。与传统逻辑电路和门阵列(如PAL,GAL及CPLD器件)相比,FPGA具有不同的结构,FPGA利用小型查找表(16×1RAM)来实现组合逻辑,每个查找表连接到一个D触发器的输入端,触发器再来驱动其他逻辑电路或驱动I/O,由此构成了即可实现组合逻辑功能又可实现时序逻辑功能的基本逻辑单元模块,这些模块间利用金属连线互相连接或连接到I/O模块。FPGA的逻辑是通过向内部静态存储单元加载编程数据来实现的,存储在存储器单元中的值决定了逻辑单元的逻辑功能以及各模块之间或模块与I/O间的联接方式,并最终决定了FPGA所能实现的功能, FPGA允许无限次的编程.1.2 FPGA技术的发展及应用FPGA正处于高速发展时期,新型芯片的规模越大,成本也越来越低,低端的FPGA已逐步取代了传统的数字元件,高端的FPGA将会成为今后竞争的主流。自1985年问世以来,FPGA从集成电路与系统家族一个不起眼的小角色逐渐成为电子设计领域的重要器件。它极大地提高了设计灵活性并缩短了产品上市时间,在通信、工业控制、航空领域中广泛应用。FPGA行业集中度很高,几家美国公司掌握着行业的“制空权”。特别是在航空航天及军工等特殊领域,美国等少数国家对先进的技术保持封锁。因此,发展国内FPGA产业不是要不要的问题,而是怎么发展的问题。国内IC企业介入FPGA的时间并不长,多数公司还处于学习阶段。Altera公司和Xilinx公司为代表的FPGA厂商,除了在FPGA产品线上不断推陈出新之外,也在不懈地提高开发软件的设计能力,他们的软件产品在很多方面一点也不逊色于专业的EDA厂商,所以从这个角度来说,FPGA厂商也是EDA公司。这里的代表性产品就是Altera公司的Quartus II开发软件和Xilinx公司的ISE开发软件。Altera的FPGA开发工具已经经历了四代。从最初的基于DOS的A+Plus,发展到Max+Plus,1991年推出基于Windows的开发工具Max+Plus II。Max+Plus II在FPGA设计工具里是一个划时代的产品,它提供了一种与结构无关的图形化设计环境,功能强大,使用方便。设计者无须精通器件内部的复杂结构,而只需要使用自己熟悉的设计输入工具(如原理图或者HDL语言)把自己的设计输入到计算机中,Max+Plus II就会自动把这些设计转换成最终结构所需的格式,用户只要把最后生成的配置数据通过下载电缆下载到芯片中,即完成了所有的工作。Quartus II是Altera公司在2001年推出的第四代开发工具,是一个集成化的多平台设计环境,能够直接满足特定设计需要,在FPGA和CPLD设计各个阶段都提供了工具支持,并为可编程片上系统(SOPC)提供全面的设计环境,是一个系统级的高效的EDA设计工具。而且,随着器件结构和性能的不断提高,器件集成度的不断扩大,Altera始终能够同步推出与之相适应的开发工具,满足了设计者的要求,近年来一直保持着一年一个新版本的更新进度。1.3 FPGA软件设计工具Quartus IIAltera公司和Xilinx公司为代表的FPGA厂商,除了在FPGA产品线上不断推陈出新之外,也在不懈地提高开发软件的设计能力,他们的软件产品在很多方面一点都不逊色于专业的EDA厂商,所以从这个角度来说,FPGA厂商也是EDA公司。这里的代表性产品就是Altera公司的Quartus II开发软件和Xilinx公司的ISE开发软件。Altera的FPGA开发工具已经经历了四代。从最初的基于DOS的A+Plus,发展到Max+Plus,1991年推出基于Windows的开发工具Max+Plus II。Max+Plus II在FPGA设计工具里是一个划时代的产品,它提供了一种和结构无关的图形化的设计环境,功能强大,使用方便。设计者无需精通器件内部的复杂结构,而只需要使用自己熟悉的设计输入工具(如原理图或者HDL语言)把自己的设计输入到计算机中,Max+Plus II就会自动把这些设计转换成最终结构所需的格式,用户只要把最后生成的配置数据通过下载电缆下载到芯片中,即完成了所有的工作。Quartus II是Altera公司在2001年推出的第四代开发工具,是一个集成化的多平台设计环境,能够直接满足特定的设计需要,在FPGA和CPLD设计各个阶段都提供了工具支持,并为可编程片上系统(SOPC)提供全面的设计环境,是一个系统级的高效的EDA设计工具。而且,随着器件结构和性能的不断提高,器件集成度的不断扩大,Altera始终能够同步推出与之相适应的开发工具,满足了设计者的要求,近年来一直保持这一年一个新版本的更新进度。Altera公司的Quartus II软件是一种集编辑,编译,综合,布局布线,仿真与器件编程于一体的集成设计环境。Quartus II软件支持基于VHDL与Verilog HDL等硬件描述语言的设计和基于图形的设计,内部嵌有VHDL和Verilong HDL的逻辑综合器,也支持利用第三方的综合工具进行逻辑综合。进行设计仿真时,既可以利用Quartus II软件自己的仿真工具,也可以利用如ModelSim等第三方仿真工具。Quartus II软件除了进行基于FPGA的一般的数字系统开发外。还可以与MATLAB和DSP Builder结合,进行基于FPGA的DSP系统开发;使用内嵌的SOPC Builder设计工具,配合Nios II IDE集成开发环境,进行基于Nios II软核处理器的嵌入式系统开发。 Quartus II软件的设计流程遵循典型的FPGA设计流程,包括设计输入,综合,布局布线,时序分析,仿真验证,编程配置等设计步骤,以及与布局布线有关的功耗分析,调试,工程更改管理,与时序分析和仿真验证有关的时序逼近。2 FIR数字滤波器的理论研究及分析2.1 数字滤波器的理论基础数字滤波器是通过对数字信号的运算处理,改变信号频谱,完成滤波作用的算法或装置。数字滤波器由数字乘法器、加法器和延时单元组成的一种算法或装置。数字滤波器的功能是对输入离散信号的数字代码进行运算处理,以达到改变信号频谱的目的。数字滤波器一词出现在60年代中期。由于电子计算机技术和大规模集成电路的发展,数字滤波器已可用计算机软件实现,也可用大规模集成数字硬件实时实现。 数字滤波器是一个离散时间系统(按预定的算法,将输入离散时间信号转换为所要求的输出离散时间信号的特定功能装置)。应用数字滤波器处理模拟信号时,首先须对输入模拟信号进行限带、抽样和模数转换。数字滤波器输入信号的抽样率应大于被处理信号带宽的两倍,其频率响应具有以抽样频率为间隔的周期重复特性,且以折叠频率即1/2抽样频率点呈镜像对称。为得到模拟信号,数字滤波器处理的输出数字信号须经数模转换、平滑。数字滤波器具有高精度、高可靠性、可程控改变特性或复用、便于集成等优点。数字滤波器在语言信号处理、图像信号处理、医学生物信号处理以及其他应用领域都得到了广泛应用。 数字滤波器有低通、高通、带通、带阻和全通等类型。它可以是时不变的或时变的、因果的或非因果的、线性的或非线性的。应用最广的是线性、时不变数字滤波器,以及FIR滤波器。2.2 数字滤波器的分类数字滤波器有低通、高通、带通、带阻和全通等类型。它可以是时不变的或时变的、因果的或非因果的、线性的或非线性的。应用最广的是线性、时不变数字滤波器,以及FIR滤波器。FIR滤波器:有限长单位冲激响应滤波器,是数字信号处理系统中最基本的元件,它可以在保证任意幅频特性的同时具有严格的线性相频特性,同时其单位抽样响应是有限长的,因而滤波器是稳定的系统。鉴于IIR数字滤波器最大缺点:不易做成线性相位,而现代图像、语声、数据通信对线性相位的要求是普遍的。因此,FIR滤波器在通信、图像处理、模式识别等领域都有着广泛的应用。2.3 FIR数字滤波器的设计方法FIR滤波器设计方法以直接逼近所需离散时间系统的频率响应为基础。设计方法过去主要包括窗函数法和最优化方法(等同波纹法)。本文主要采用模块法。在本次设计过程中,运用的是Altera公司的Quartus II软件中的一款DSP Builder设计工具,与MATLAB相结合,利用MATLAB中自带的滤波器模块与DSP Builder中所包含的FPGA模块构建FIR数字滤波器,并在Simulink中实现仿真。3 FPGA DSP系统设计分析3.1 DSP的基本概念数字信号处理(DSP)技术的迅速发展,已经广泛应用于3G通信,网络会议,多媒体系统,雷达声纳,医学仪器,实时图像识别以及民用电器等,而且所有这一切在功能实现,性能指标与成本方面都在不断增加其要求。数字信号处理与模拟信号处理相比有许多优点,如相对于温度和工艺的变化,数字信号要比模拟信号更稳健,在数字表示中可以改变信号的字长来更好的控制精度,与模拟信号中信号和噪声同时被放大不同,DSP技术可以在放大信号的同时将噪声和干扰去除,数字信号还可以不带误差的被存储和恢复,发送和接收,处理和操控。由于DSP与其他通用计算机技术互相区别的两个重要特性是实时流量要求和数据驱动特性。与通用计算机技术先在缓存器存储数据再按批作业处理不同,DSP的硬件实现应该首先满足实时处理的流量约束的要求,从信号源周期地接受新的输入采样必须即时进行处理。但是,一旦硬件达到所要求的采样率,就没有必要提高计算的执行速度了。在DSP系统中,一旦所有的输入数据有效,就可以执行任何的处理任务或计算,在这个意义上,这些系统由数据流同步,而不是由系统的时钟同步,这使得DSP系统可以利用没有全局时钟要求的异步电路,DSP算法由对一个无限时间序列重复地执

    注意事项

    本文(毕业设计基于FPGA的FIR数字低通滤波器的设计.doc)为本站会员(文库蛋蛋多)主动上传,三一办公仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三一办公(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    备案号:宁ICP备20000045号-2

    经营许可证:宁B2-20210002

    宁公网安备 64010402000987号

    三一办公
    收起
    展开