欢迎来到三一办公! | 帮助中心 三一办公31ppt.com(应用文档模板下载平台)
三一办公
全部分类
  • 办公文档>
  • PPT模板>
  • 建筑/施工/环境>
  • 毕业设计>
  • 工程图纸>
  • 教育教学>
  • 素材源码>
  • 生活休闲>
  • 临时分类>
  • ImageVerifierCode 换一换
    首页 三一办公 > 资源分类 > DOC文档下载  

    数字密码锁电路设计与制作.doc

    • 资源ID:4149171       资源大小:558KB        全文页数:35页
    • 资源格式: DOC        下载积分:8金币
    快捷下载 游客一键下载
    会员登录下载
    三方登录下载: 微信开放平台登录 QQ登录  
    下载资源需要8金币
    邮箱/手机:
    温馨提示:
    用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP免费专享
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    数字密码锁电路设计与制作.doc

    编号 淮安信息职业技术学院毕业论文题 目数字密码锁电路设计与制作学生姓名学 号系 部专 业班 级指导教师 顾问教师 二一一年六月摘 要随着人们生活水平的提高,如何实现家庭防盗这一问题也变的尤其的突出,传统的机械锁由于其构造的简单,被撬的事件屡见不鲜,而数字密码锁是第三代计算机防盗报警器的核心组成部分,用于识别用户身份的合法性。它有不少优点。例如保密性强,防盗性能好可以不需要钥匙,只要记住开锁的密码和方法,便可开锁,即方便又可避免因丢失钥匙带来的烦恼和损失。如果密码泄露,主人可以比较方便地设置新的开锁密码,不会造成损失,因为数字密码锁利用了数字逻辑电路,实现对门的电子控制,并且有各种附加电路保证电路能够安全工作,有极高的安全系数, 并且使用数字芯片实现了密码的对比,使用密码锁的编码器端口输入,完成cp控制。使用数据选择器并行输出,如果密码输入都正确则输出开锁信号。关键词: 计数器 编码器 译码器 数字密码锁 数据选择器目 录摘 要I第一章 概述11.1 数字密码锁的认识11.2 数字密码锁应用领域11.3 数字密码锁的发展31.3.1数字密码锁的特点31.3.2 数字密码锁的未来4第二章 数字密码锁的总体设计方案72.1 数字密码锁的设计思路72.1.1 数字密码锁电路图的设计72.1.2 数字密码锁电路原理图82.2 数字密码锁的工作原理102.3 数字密码锁设计注意事项10第三章 数字密码锁各单元电路分析113.1 数字密码锁的各单元电路功能介绍113.2各单元电路中逻辑电路功能分析14第四章 数字密码锁的制作214.1数字密码锁的制作要求214.2元器件的识别与检测214.3数字密码锁的焊接22第五章 数字密码锁的制作心得及体会255.1 数字密码锁的后期调试255.2 毕业设计的体会25第六章 总结与展望27致 谢29参考文献30附录1 元器件清单31第一章 概述前言 随着电子工业的发展,数字电子技术已经深入到了人们生活的各个层面,而且各种各样的电子产品也正在日新月异地向着高精尖技术发展。由于电子产品的功能不断增加,使用也越来越方便,有些产品已经成为了人们日常生活中不可缺少的必备物品。发展历史悠久的机械式门锁,因其功能单一,安全性能较差等缺点,必将被新一代的电子门锁所代替。新颖的多功能电子门锁,集电子门锁、防盗报警器,门铃等功能于一身,而且还具有定时器呼唤,断电自动报知,显示屋内有无人和自动留言等诸多附加功能。在未来的生活中,数字密码锁必将在学领域再创新的成绩,将给我们的生活带来更大的便利,前景不可估量。1.1 数字密码锁的认识数字密码锁是一种越来越普及的锁具,在近十年内密码锁得到了飞速发展。从一般的半导体构成的密码锁,到数字芯片构成的集成电路,防盗技术水平也随之发掌,达到了一个又一个新的高度,密码锁从简单的密码对比功能到现在的密码连续出错报警,使锁具突破了传统的结构和功能,向综合的安全装置演变。实现了锁具功能的多方面扩展。随着我国经济的不断的发掌,社会问题也不断的凸现。夜不闭户的时代对现代的人来说已经变得可望不可及了。锁具的作用也就显得日益重要。一个好的锁具不仅可以使现代人更有安全感而且为人们的生活提供了必要的保障。在这个追求效率与便捷的社会电子密码应运而生,电子密码锁实现了人类之前渴望而无能为力的功能。不可不说密码说是一种新的突破。随着社会物质财富的日益增长,安全防盗已成为社会问题。而锁自古以来就是把守门户的铁将军,人们对它要求甚高,既要安全可靠地防盗,又要使用方便,这也是制锁者长期来研制的主题。目前国内,大部分人使用的还是传统的机械锁。然而,眼下假冒伪劣的机械锁泛滥成灾,互开率非常之高。所谓互开率,是各种锁具的一个技术质量标准,也就是1把钥匙能开几把锁的比率。经国家工商局、国家内贸局、中国消协等部门对锁具市场的调查,发现个别产品的互开率居然超标26倍。弹子锁质量好坏主要取决于弹子数量的多少以及弹子的大小,而弹子的多少和大小受一定条件的限制。此外,即使是一把质量过关的机械锁,通过急开锁,甚至可以在不损坏锁的前提下将锁打开,提供了发展的空间。1.2 数字密码锁应用领域 从目前的技术水平和市场认可程度看,除了键盘式电子密码锁使用最为广泛的是数字式电子密码锁,该产品主要应用于保险箱、保险柜和金库,还有一部分应用于保管箱和运钞车。键盘式电子密码在键盘上输入,与打电话差不多,因而易于掌握,其突出优点是“密码”是记在被授权人脑子里的数字和字符,既准确又可靠,不会丢失(除了忘记),难以被窃(除非自己泄露)。但是密码不能太简单,太简单了就容易被他人在键盘上试探出来,或者可能被旁观者窥测出来,造成保密性不足。当然,密码又不能太复杂,太复杂了可能自己都糊涂了,或者输入密码操作成功率低,造成使用不便。因此,为了发扬优点、克服弱点,数字式密码也在不断发展中,如“任意设定密码”技术使得被授权人可以根据自己的需要或喜好设定密码,常用常新;而“自动更改密码”技术使得本次输入的密码将自动更改成下次应输入的密码,更改的规律不为他人所知,因而不怕旁观者窥测;独出心裁的“键盘乱序显示”技术使得键盘上的固定键位每次显示出的字符不固定,并且显示的窄小角度只能由操作者正面看得到,因而即使旁观者看见操作动作也难以窥测出密码。在输入密码的过程中,为了限制试探密码的企图,通常输入错误码若干次或若干时间内输入不正确,即“封锁”键盘,不再接受输入操作。总之,尽管新式电子防盗锁层出不穷,但数字密码防盗锁仍然“老树发新芽”,不仅在市场上居于主流地位,而且,还经常作为其他类型电子防盗锁的辅助输入手段。当然,以上所说的授权技术再高超,都必须由精良的“锁具”担当承载结构部件,实现开启、闭锁的功能,而且承担实体防护作用,抵抗住或尽量延迟破坏行为,让电子防盗锁“软、硬不吃”。一般情况下,锁具防盗的关键是锁身外壳、闭锁的部件(如伸缩的锁舌或锁栓、锁扣盒锁扣板以及依靠电磁力直接闭锁的电磁部件等)的强度(应有足够的机械强度和刚度,能够承受一定数值、一定方向的静压力和冲击力以及力矩)、锁止型式(能承受某些方式和工具的作用)、配合间隙(防止采用机械的、电子的方法探入锁具内部而被开启)和布局(将薄弱的、与锁的开启直接相关的零部件和电路置于壳体保护之下,并且不易被识别出来)。 为了方便了解,下面就介绍几种常见的数字密码锁的应用,希望通过对这些日常所能见到的数字密码锁的应用来对我们的课题更加了解。图1-1 密码锁防盗门密码锁式防盗门不仅增加了美感而且为家庭防盗做出了一定的贡献,密码锁必须要有正确的密码输入才可以打开,否则将会出现报警声音,这为安全提供了保障。不可不称得上是防盗事业的一次革新。图1-2 新型密码锁新型密码锁不仅一改原始密码锁的数字式,应用了五位字母形式作为密码的设定,形式新颖,一旦失窃,破解密码的难度大大提高,而其外观大方,利用率高。1.3 数字密码锁的发展1.3.1数字密码锁的特点数字密码锁是一种通过密码输入来控制电路或是芯片工作,从而控制机械开关的闭合,完成开锁、闭锁任务的电子产品。它的种类很多,有简易的电路产品,也有基于芯片的性价比较高的产品。现在应用较广的电子密码锁是以芯片为核心,通过编程来实现的。当然由于结构不同,各种密码锁都有不同的优缺点,下面来简单介绍一下1. 多拨式最简单的密码锁,常见於低安全设定的单车锁,使用多个拨圈。每个圈的中间有凹位。锁的中心的一条轴,上有数个凸出的齿,用来卡住拨圈。当拨圈转到正确的密码组合,锁便可以打开。这种锁是最容易打开的。很多这类锁根本无需知道密码都能开启。除非它的内部组件造得完美无瑕,否则只要把轴向外拉,其中一个齿便会比其他更为拉紧拨圈。这时轮动被拉紧的拨圈,直至听到小小的卡声,表示这个齿已进入了正确的凹位。重覆这步骤,很快便可以把锁打开。 2.单一转盘式 用在挂锁或匣万上的密码锁可以是只有一个转盘。转盘推动背后数个平衡碟片或凸轮。习惯上,开启这种锁时先把转盘顺时针转到第一个数字,然后反时针转到第二个数字,如此直至最后一个数字。凸轮上通常有凹位,当转入正确的密码后,各位成一直线,锁便可以打开。 这种密码锁较为安全,但亦非全无缺点。例如,部分密码挂锁可以拉紧锁头,然后转动转盘直至不能再动,这样便能把密码找出。亦有些转盘密码锁的数字之间有特定关系,使到密码的组合可能性大为降低。廉价的密码挂锁可以用特别的衬片打开,而无需使用密码。使用在匣万上的密码锁结构较为严密。有经验的锁匠可以一面旋转转盘,一面使用听筒小心聆听锁内凸轮的声音,判断可能的密码。 3.其他设计 有些门锁上有一个数字键盘,开启时按序键入一个数字系列。这种锁是使用电子控制,常见於办工室内。优点是只要告诉员工密码便可,无须复制钥匙。不过,如果有人把密码告诉外人,这锁便形同虚设。因此这类密码锁的密码需要经常更换才可以。1.3.2 数字密码锁的未来随着科技的发展以及人们生活水平的大幅度提高,特别是近几年国内经济的发展以及科学技术的不断发展,防盗的要求也是与日俱增,同时对使用的便捷性也提出了更高的要求,传统的锁防盗效果已经满足不了现代社会的防盗需求,还存在着需要随身带着钥匙,如果钥匙不慎丢失被他人利用,就有可能使不良之人乘虚而入等诸多弊端.因此近几年一种新型的电子密码锁应运而生.电子密码锁运用电子电路控制机械部分,使两者紧密结合,从而避免了因为机械部分被破坏而导致开锁功能失常.大大增加了密码锁得防盗功能。同时因为电子密码锁不需要携带钥匙,弥补了钥匙极易丢失和仿造的缺陷,方便了锁具的使用.目前,电子密码锁在国外已大量使用,技术已趋于成熟。在国内这种锁主要出现在保险柜、密码箱、高级宾馆等场所,家居用的较少,究其原因,不外乎有以下几点: 1.价格原因。现在普通的家庭买一把一般的机械锁,价格在几十到一两百左右,而电子密码锁的价格一般在300元以上,进口的甚至要几千元。买一把这样的锁对于一些家庭来说,是很难接受的。 2厂商推广的力度不够。电子密码锁属于高科新产品,许多的用户根本不知道有这种锁,更不用说拿它与传统的机械锁作比较。而一般的商场也不会经营这种产品,用户即使想买也难以买到。 3密码锁自身的不便以及人们的习惯和意识问题。机械锁普及已久,人们已经习惯了用一把钥匙开一把锁,如果换成密码锁,不符合习惯。而且,每次开门时都要输入密码,除了需要记忆密码外,还要担心密码被人看见带来的麻烦。此外,用户还会担心电子锁的电源断电问题,即万一停电或是电池耗尽,会不会打不开锁而进不了屋。诸如此类的问题给用户带来了很多的不便,再加上大部分人对“互开锁”、“急开锁”现象不了解,对机械锁的安全问题没有足够的重视,使得人们在选择家用锁的时候更倾向于购买传统的机械锁。 4国内的技术不够成熟。对一个小康家庭而言,买一把价钱在一千元以内的国产的电子密码锁,并不是什么难事。但是人们都希望买一把可靠性、安全性好的锁,如果买回一把电子锁,没用多久就坏了,那还不如买机械锁方便。至于进口的锁,价钱昂贵,一般用户难以接受。目前在国内,电子锁还未大批量生产,技术不成熟更是是一个主要的原因。如果说市场利用价值最大的莫过于记忆数码锁,它更是代表数字密码锁的前沿,也是发挥最大利用价值的新型锁具之一。第二章 数字密码锁的总体设计方案2.1 数字密码锁的设计思路本次设计中分析了两种方案,一种是中规模集成电路控制的方案,另一种是单片机控制的方案。因为两种方案各有各的优缺点,所以通过以下两个方案的比较后选择设计了其中一个方案。方案一:采用集成电路控制。 编码电子锁电路分为编码电路、控制电路、计数(分配器)电路、讯响电路、。电子锁主要由输入元件、电路(包括电源)以及锁体三部分组成,当电磁线圈中有一定的电流通过时,磁力吸动锁栓,锁便打开。用发光二极管代表电磁线圈,当发光二极管为亮状态时,代表电子锁被打开。每来1个输入时钟,编码电路的相应状态就向前前进一步。在这个操作过程中,如果按照规定的代码顺序按动编码按键,编码电路的输出就跟随这个代码的信息。正确输入编码按键的数字,控制电路通过整形供给编码电路时钟。一直按规定的编码顺序操作完,则解码电路驱动开锁电路把锁打开。在操作过程中,如果没有按照规定代码顺序按下数字键或按动了其他键,控制电路将会复位,操作失败。方案二:采用一种是用以AT89C51为核心的单片机控制方案。利用单片机灵活的编程设计和丰富的io端口,及其控制的准确性,不但能实现基本的密码锁功能,还能添加调电存储、声光提示甚至添加遥控控制功能。 考虑到编码电子锁制作成本低,设计要求少,比较容易检查校验并且易实现控制要求,而单片机方案原理的复杂,调试较为繁琐,本着对本专业的数字电路基础较熟悉,有利于研究该课题。所以采用了方案一。2.1.1 数字密码锁电路图的设计要想将本电路完好的设计出来,首先要对密码锁的工作过程有很深的了解,其次,设计好本电路的组成部分及电路的工作过程,这是设计的首要条件,因为只有有了一个清晰地思路才可以更好的规划一个电路,并且要对每一部分的电路有熟悉的了解,否则,即使电路设计出来,在调试的过程中万一出现故障也无从下手排查,因此做了下面的具体规划,其中电路的具体设计有:1.编码电路的设计由按键开关S0S9与二极管VD1VD12构成三位BCD码编码电路,S1S7对应的BCD码分别为001111,S0,S8,S9起迷惑作用,且具有复位功能.2.数据选择器的设计CD4512为8选1数据选择器,其选择端CBA输入的是编码电路产生的三位BCD码,用以输入密码。其中D0 D7为数据输入端,14脚为输出端如按下按扭S3时,编码电路产生的BCD码为011,CD4512的选择端CBA=011,这时将数据输出端D3输入的信号送到输出端14脚,即14脚的输出信号电平与D3输入信号电平一致。3.单稳态触发器的设计CD4013为双D触发器,将其中两个触发器分别连接成单稳触发电路主要目的是为了防止触点抖动而产生失误IC3A,IC3B构成的单稳电路稳态为Q=0,当Q=1,则经电阻向电容充电,产生复位电压使Q段置0,当S0S9中任一按键被按下时,电源电压经过VD22VD24加到VT1的基极,使VT1导通,VT2截止(按键按下前,VT1截止,VT2导通),IC3A的时钟输入端有上升沿作用,使得Q=D=1为高电平,Q非=0.经过一段时间充电后电容器C2上端变为高电平,复位端R作用使Q变为0。则Q非由0变成1,作为上升沿时钟信号控制IC3B及CD4017工作。4.计数/分配器电路的设计CD4017为十进制计数器,在记数脉冲作用下Q0Q9逐位输出高电平,RST为高电平有效的复位端.正常记数时RST应接低电平。若RST为高电平,则复位后为Q0为高电平,Q1Q9构成低电平。5.讯响电路的设计 VT5VT8构成讯响电路,使得每按下一次按键,讯响器HA都发出“嘟”的一声提示音。数字密码锁的总体设计就是这些,当然,每部分的电路的作用及功能我们将在下一章详细介绍。通过元器件布局实践证明,即使电路原理图设计正确,印制电路板设计不当,也会对电子设备的可靠性产生不利影响。例如,如果印制板两条细平行线靠得很近,则会形成信号波形的延迟,在传输线的终端形成反射噪声;由于电源、地线的考虑不周到而引起的干扰,会使产品的性能下降,因此,在设计印制电路板的时候,应注意采用正确的方法。在设计好了个单元的电路之后,利用编码电路,数据选择器,单稳态触发器,讯响电路进行了电路图的总的设计。2.1.2 数字密码锁电路原理图数字密码锁的电路原理图如下:图2-1数字密码锁的电路原理图2.2 数字密码锁的工作原理一.设计的主要功能:1.使用N位密码,按动按键,以此来产生开锁的密码2.输入N位密码,若与预设密码相同,输出高电平,打开锁,否则,输出低电平.恢复初始化3.使用protel画出电路图,并准备硬件设备4.硬件设备设计好,准备进行焊接5.进行调试和校正,对一些问题进行排查和处理二.设计原理:该电路的密码由S1S9组成的9位数构成,如密码为112225555,当按下S1即密码为1时,电源电压经S1加到编码电路,其编码输出为001送到IC2的选择端,即CBA为001,IC2的14脚输出电平与D1一致,由于IC1的初始状态为Q0=1,Q1Q9均为0,所以IC2的14脚输出高电平,使VT3饱和导通,IC3的9脚为低电平。同时高电平亦经S1,VD1,VD24加到IC3A的时钟端3脚,经延迟后IC3A2脚Q非由0变1,作为时钟信号加到IC3B的11脚和IC1的14脚,并使IC1计数结果进1,即Q1=1,Q0,Q2Q9均为0。这时再按下S1,S2,S2,S2,S5,S5,S5,S5,IC1的Q2,Q3,Q4,Q5,Q6,Q7,Q8,Q9依次出现高电平,当Q9为高电平时,VT4导通,继电器K吸合,将锁打开。若其中某一位按错,如输入密码时误将S6按下,则编码输出为110,IC2的14脚输出与D6电平一致,由于D6经电阻R2接地,故为低电平,所以IC2的14脚输出低电平,加到VT3的基极,使VT3截止,IC3B的9脚为高电平,输出13脚Q也为高电平,加到IC1的15 脚复位端,使IC1复位,即Q0=1,Q1Q9均为0,必须重新输入正确的密码方能把锁打开。2.3 数字密码锁设计注意事项要在思路清晰时才可以进行设计,对单元电路要进行透彻的分析和理解,不可以在没有整体的情况下走一步算一步,这样对电路的整体设计都是不利的,对以后的问题排查增加了困难,对一些不明白的问题要搞清楚,当然,在设计过程中要善于利用整体效果,不明白要请教。第三章 数字密码锁各单元电路分析3.1 数字密码锁的各单元电路功能介绍1编码电路:图3-1 编码电路 所谓编码是用若干位二进制代码来表示某种信息(如09十个数字,AZ26个英文字母)的过程。能够实现编码功能的电路成为编码器, 编码器是组合逻辑电路中的一种类型,虽然可以由逻辑门构成,但已有中规模集成电路芯片,编码器的输入信号是若干个代表不同信息的变量,它的输出则是一组代码,用代码的不同组合来表示不同的输入变量。本编码电路是由按键开关S0S9与二极管VD1VD12构成,且具有复位功能. 每来1个输入时钟,编码电路的相应状态向前前进一步。在操作过程中,按照规定的代码顺序,按动编码按键,编码电路的输出就跟随这个代码的信息。正确输入编码按键的数字,控制电路通过整形电路供给编码电路时钟,一直按规定编码顺序操作完,则驱动开锁电路把锁打开。否则,密码不正确时,按键将被复位,编码电路将产生BCD码送到数据选择器(CD4512)的CBA端用以驱动,2.数据选择电路:图3-2 数据选择电路数据选择是指经过选择,把多个通道的数据传送到唯一的公共数据通道上去。实现数据选择功能的逻辑电路称为数据选择器。它的作用相当于多个输入的单刀多掷开关, 本电路之所以用CD4512是因为它的作用为8选1数据选择器,其选择端CBA输入的是编码电路产生的三位BCD码,其中D0 D7为数据输入端,14脚为输出端,如按下按扭S3时.编码电路产生的BCD码为011.CD4512的选择端CBA=011.这时将数据输出端D3输入的信号送到输出端14脚.即14脚的输出信号电平与D3输入信号电平一致。将数据选择器的地址信号C.B.A作为函数的输入变量,数据输入D0D7,作为控制信号,控制各最小项在输出逻辑函数中是否出现,使能端始终保持低电平,这样8选数据选择器就成为一个3变量的函数产生器。3单稳态触发电路:图3-3 单稳态触发电路 单稳态触发器和其他的触发器的不同在于,它只有一个稳态,具有以下几个明显特点:a:它有稳态和暂稳态两个不同的工作状态;b: 在外界触发脉冲作用下,能从稳态翻转到暂稳态;在暂稳态维持一定时间后,再自动返回稳态。c:暂稳态时间的长短取决于电路本身的参数。由于具有以上特点,单稳态触发器被广泛用于数字系统中的整形、延时以及定时等,而本电路利用了CD4013这个单稳态触发器。单稳态触发器的特点是电路有一个稳态和一个暂态,在外来触发器信号作用下,电路由稳态跳到暂稳态;暂稳态经过一端时间后会自动返回到稳态。暂稳态所处时间的长短取决于电路本身定时元件的参数。因此在此设计中由两个D触发器构成的单稳态触发器的主要目的是为了防止触点抖动而产生失误IC3A,IC3B构成的单稳态为Q=0,当Q=1,则经电阻向电容充电,产生复位电压使Q段置0,当S0S9中任一按键被按下时,电源电压经过VD22VD24加到VT1的基极,使VT1导通,VT2截止(按键按下前,VT1截止,VT2导通),IC3A的 时钟输入端有上升沿平,Q非=0。经过一段时间充电后电容器C2上端变为高电平,复位端R作用使Q变为0。则Q非由0变成1,作为上升沿时钟信号控制IC3B及CD4017工作4计数电路:图3-4 计数电路 计数电路属于中规模集成电路,其种类较多,应用也十分广泛,按其工作步调一般分为同步计数器和异步计数器两大类,通常为BCD码和四位二进制计数器,这些计数器的功能比较完善,同时还附加了辅助控制端。而本电路是用CD4017,因为它为十进制计数器,在记数脉冲作用下Q0Q9逐位输出高点平,RST为高点平有效的复位端. 正常记数时RST应接低电平。若RST为高电平,则复位后为Q0为高电平,Q1Q9构成低电平。5讯响电路讯响电路是用来提示操作者数字密码锁的工作状况,提示使用者,因为在设计中,我们要求在每次按按键是都能发出“嘟”的声音,因此本电路采用了多谐振荡器和一个讯响器来完成。用多谐振荡器振荡产生脉冲使讯响器发出声音。用VT5VT8构成讯响电路,每按下一次按键,讯响器HA都发出“嘟”的提示音。3.2各单元电路中逻辑电路功能分析1数据选择器CD4512的介绍在电路中,与CD4017相连的是数据选择器CD4512,它是对编码电路产生的三位BCD码进行选择并将其输入给其它电路来进行工作,在电路中,起着重要的作用。当需要从多个输入的数字信号中按照当时的需要选择出其中某一信号输入电路时,就需要一种能够选择该信号输入的专用电路,这种电路称为数据选择电路。数据选择器又称多路开关,其功能是从多路中选择一路进行传输。特可以用它将并行输入的代码转换为串行输出代码,或作N线1线选择器。常用的数据选择器有2选1、4选1、8选1、16选1等几种。数据选择器功能:在多个通道中选择其中的某一路,或多个信息选择其中的某一个信息传送或加以处理。数据分配器功能:将传送来的或处理后的信息分配到各通道去。图3-5 与单刀四掷开关相应的数据选择器逻辑图数据选择器的功能类似于一个单刀多掷开关,如图3-30(a) 所示,其作用是通过开关K置于不同位置而将不同路的数据传送出去。是数据输入端,F为输出端,是选择输入端,A1和A0为地址选择输入端。本次设计用的是八路数据选择器CD4512,下面就让我们来了解一下CD4512的结构: 图3-6 数据选择器逻辑符号 图中为使能控制端,A2、A1、A0为地址输入端,和为该片的两个互补输出端。 根据表达式看出,当 0时,Y将按地址从八路中选择一路信息输出。功能表分析:表3-1 数据选择器功能表一般情况下数据选择器的工作条件都是设定的,只需了解,不作要求。表3-2数据选择器的参数注:高温下的降低值:塑料双列-12mW(从)陶瓷双列-12mW(从)在本电路中数字选择器CD4512是这样进行工作的:其选择端CBA输入的是编码电路产生的三位BCD码,D0D7为数据输入端,14脚为输出端如按下按扭S3时,编码电路产生的BCD码为001。CD4512的选择端CBA=001。这时将数据输出端D3输入的信号送到输出端14脚。即14脚的输出信号电平与D3输入信号电平一致。2.单稳态触发器CD4013的介绍CD4013是由一个双D触发器构成的IC芯片。根据不同的需要连接其不同的引脚可实现不同功能双D触发器构成图:图3-7 双触发器构成图图3-8 CD4013的管脚图下面就让我们来了解一下CD4013的内部工作情况:表3-3 CD4013逻辑功能表D触发器的内部原理图及工作原理:作用,使得Q=D=1为高点的原理:当CP=0(低电平)时,G3,G4被封锁,输出均为高电平,触发器的状态保持不变,G5和G6门的输出由输入信号D决定。这时触发器处于等待状态,一旦CP的上升沿到来后,触发器就按G5,G6的输出状态翻转。若D=0,则Q6=1,Q5=0,当CP上升沿到来后,G3被打开,输出低电平,G4仍被封锁,输出高电平,经G1,G2将触发器置伐1状态,即Qn+1=1;同时由于Q3=0,一方面通过置1维持线1将G5封锁,用于保持Q5=1,Q3=0,从而维持了触发器置伐的1状态,另一方面,为了保证在CP=1期间D端信号的变化不影响触发器的状态,又通过置0阻塞伐3将Q3=0的状态引回到G4的输入端,将G4封锁,以阻止G4。因输入信号D变为0,而出现0状态,亦即阻止触发器置0。 若D=1,则Q6=0,Q5=1,当CP上升沿到来后,G4被打开,输出低电平经G1,G2将触发器置成0状态,即Qn+1=0,同时G4=0,又通过置1维持线2将G6封锁,Q6=1又通过置1阻塞4使G5输入全为1,而输出为0,封锁G3。这样,即使输入信号D发生变化,也不会影响G5和G6,的状态,从而保证了在CP=1期间触发器能可靠置0。综合所叙,此中触发器只有在CP的上沿到来时刻才按照输入信号的状态进行翻转,除此之外,在CP的其他任何时刻触发器都将保持状态不变,故把着种状态称为边沿触发器。3计数器CD4017的介绍 图3-9 CD4017的管脚 CD4017是5位Johnson计数器,具有10个译码输出端,CP、CR、INH输入端。时钟的斯密特触发器具有脉冲整形功能,对输入时钟脉冲上升和下降时间无限制。INH为低电平时,计数器在时钟上升沿计数;反之,计数功能无效。CR为高电平时,计数器清零。Johnson计数器,提供了快速操作,2输入译码选通和无毛刺译码输出。防锁选通,保证了正确的计数顺序。译码输出一般为低电平,只有在对应时钟周期内保持高电平。在每10个时钟输入周期C0信号完成一次进位,并用作多级计数链的下级脉动时钟。推荐工作条件电源电压范围:3V15V输入电压范围:0VVDD工作温度范围:M类:55125E类:4085极限值电源电压:-0.5V18V输入电压:-0.5V-VDD+0.5V输入电流:±10mA贮存温度:-65150引出端功能符号CO:进位脉冲输入CP:时钟输入端RST: 复位端INH: 禁止端Q0-Q9:计数脉冲输出端VDD: 正电源VSS: 地表3-4 计数器真值表CD4017为十进制计数器,在记数脉冲作用下Q0Q9逐位输出高电平,RS为高电平有效的复为端。正常记数时RST应接低电平。若RST为高电平,则复位后Q0为高电平,Q1Q9构成低电平。在“R” 端加上高电平或正脉冲时,计数器中各计数单元 F1 F5 均被置零,计数器为“00000 ”状态。 从上述分析中可以看出,CD4017(它的基本功能是对“CP”端输入脉冲的个数进行十进制计数,并按照输入脉冲的个数顺序将脉冲分配在Y0 Y9这十个输出端,计满十个数后计数器复零,同时输出个进位脉冲。我们只要掌握了这些基本功能就能设计出千姿百态的应用电路来。 4.多谐振荡器的介绍在这个部分起主要作用的是多谐振荡器,多谐振荡器无需外加触发信号,就能周期性地自动翻转,产生幅值和宽度一定的矩形脉冲,因而又称之为无稳态电路。它可由分立元件、集成运放以及门电路组成。 晶体管组成的多谐振荡器,由下图可见,它的结构与双稳态触发器极其相似,不过多谐振荡器是由电容C1、C2耦合的,而双稳态触发器是由电阻R1、R2耦合的。 图3-10 多谐震荡器电路(图) 和双稳态电路一样,多谐振荡器电路也是左右完全对称的,它的左右两半部分所采用的元件从型号到参数都是相同的。尽管如此,在接通电源的瞬间,总会因为左右电路间微小的差异而产生不同的导电状态。假定在通电后的某一时刻左边的管子VT1导电较强,则VT1的集电极电位Vc1会下降得很快,它会通过耦合电容C1传送到VT2的基极,使Vbc下降;从而阻止VT2导电的作用更大些,结果使Vc2趋于升高并通过耦合电容C2传送到VT2的基极,使VT2的导电程度进一步增强,Vc1进一步下降。反馈结果使Vc1上升至Vcc,Vc2下降至0V,这时VT1截止,VT2饱和,电路进入另一个暂稳态。之后,电容C2开始放电,电容C1开始充电。随着C1、C2的充放电。电路又重新第一个循环过程,即使VT1饱和、VT2截止,这样,在电路周而复始的不断重复上述过程中,也就是电路振荡的工作过程。第四章 数字密码锁的制作4.1数字密码锁的制作要求一、首先要了解焊接流程1、焊接开始前,整理好桌面及周边环境,为电路焊接工作准备一个有条理、整洁的环境。2、库房领料,并依据元件明细表核对物料,确保物料正确无误,遇到生疏元件及时向老师或同学询问。3、依据元件明细表进行电路板焊接。4、电路板焊接完成后,依据元件明细表核对元件,以保证无错焊、虚焊、漏焊、假焊、桥接。特别是确认多引脚元件和有极性元件焊接正确。同样重要的是检查和优化焊点,一块合格的电路板是焊点光滑、过渡均匀、无毛刺、元件排列整齐美观。二、焊接技术1、电子元器件焊接的顺序是:1)阻容、二极管等两引脚表贴元件,由小到大,由低到高2)晶体管、集成电路等多引脚表贴元件,由小到大,由低到高3)蜂鸣器、电解电容等其他通孔直插元器件,由小到大,由低到高4)单排插针等接插件,可不分次序,便于焊接即可4.2元器件的识别与检测一、实物识别电子元器件的实物识别就是实物与器件名称的对应,它是电路板焊接的基础,是保证正确高效的完成焊接的前提。只有熟练的掌握了常用元器件的识别,才可以分清楚元器件的所属种类,才可以准确无误的进行电路板焊接。元器件的识别不能仅仅停留在外形轮廓上,大多数元器件都是有极性或引脚排列的,只有熟练的掌握了极性或引脚排列的识别,才可以保证电路板焊接的准确、可靠二、电路图符号识别在电路原理图,每一种电子元器件都会用一个图形符号来表示,通过导线将每个元器件引脚相连,进而实现特定的功能。电路板的设计和绘制就是严格根据原理图进行的,所不同的只是表元件的表现形式不同而已。电路板上的元件是根据实物封装进行设计的,而原理图中则用一个符号来表示元器件;电路板上元件之间的连接是通过实际的覆铜导线来实现的,而原理图中则用具有电气意义的直线表示。电路原理图对于电路板的测试、焊接、维修都具有非常重要的指导意义。因此,熟练掌握原理图的识别就显得至关重要。每一个元件符号中都含有该元件的相关信息,根据这些信息,可以知道该元件的引脚数量,引脚极性区分。通常情况下,从元件符号也可以了解该元件的结构和原理。比如图四中C2为钽电容或电解电容,由它的符号可知道电容有两个引脚,其内部结构为两个相绝缘的极板,中间为绝缘材质,同时在正极上加“+”以表示电容的极性。下图中,列出了我们常用的电子元器件在电路中的表示符号: 图4-1常见元器件符号4.3数字密码锁的焊接一一般焊接方法:1、晶体管、集成电路等多引脚表贴元件的焊接方法1)批量将元件的其中一脚焊盘镀上焊锡,以焊接方便快捷为准2)依据元件明细表,按由小到大,由低到高,方便焊接的原则批量将元件的一个脚或两个脚固定在电路板上,要求固定可靠。(集成电路可固定对脚的两个引脚)3)批量焊接元件剩余引脚,引脚间距允许时可以依次单个焊接引脚,引脚间距较小的集成电路可采用堆锡法焊接:在元件所有引脚上镀锡,暂不考虑引脚间的桥接4)元件焊接完成后,用高温航空导线蘸取焊锡油后吸去元件引脚上多余和桥接的焊锡2、蜂鸣器、电解电容等其他通孔直插元器件焊接方法1)依据元件明细表,按由小到大,由低到高,方便焊接的原则批量将元件固定在电路板上2)焊接所有元件剩余引脚,因通孔直插元件引脚间距比较大,采用引脚单个焊接。3)用偏口钳剪去多出焊盘的元件引脚3、焊接过程中需要注意1)二极管、电解电容等有极性或引脚排列区分的元器件,焊接时元件极性标识要同电路板上极性标识一致。2)引脚焊接时间不宜过常,以避免损坏焊盘和元器件。3)元件体插接到位,使其牢固端正的坐立于电路板上。二、电路板后期处理电路板焊接的后期处理环节同样也是非常重要的,所谓善始善终,前面所做的工作仅仅是针对某一类器件来进行描述的,并没能从整体的角度来把握。只有做好了这一环节的工作,才可以保证电路板焊接的完整性。总结一下,电路板的后期处理工作主要有以下几点:1、依据元件清单核对元器件,确保所有元件焊接位置正确2、确认二极管、蜂鸣器、电容等有极性要求的元器件焊接正确3、确认集成电路、接插件等多引脚元件引脚排列标识同电路板上对应标识一致4、优化修复焊点,确认所有元件焊点光滑无毛刺、无漏焊、无虚焊、无假焊、无桥接5、用酒精刷洗电路板,确认电路板清洁美观,无锡粒、无污垢当然,除了这些应该注意的事项外我们还应该根据不同的环境和状况做具体的安排,尽量做到安全和速度并行第五章 数字密码锁的制作心得及体会5.1 数字密码锁的后期调试调试方法:在直流稳压电源通电测试之前,必须认真对安装电路进行检查。对电源变压器的绝缘电阻进行检测,以防止变压器漏电,危及人身和设备的安全。一般采用兆欧表测量一、二次绕组之间,各绕组与接地屏蔽层之间,以及绕组与铁芯之间的绝缘电阻,其值不应小于1000兆欧,如果万用表高电阻挡检测,则其指示电阻均为无穷大。 用万用表检测电源的正负极是否接反,有无短路现象,电源线、地线是否接触可靠二极管的引脚(或整流硅桥)和滤波电容器的极性不能相反,否则将会损坏元器件。 检查负载端不应该有短路现象。 在电路的输入端设置成符合要求的高(或低)电平,测量电路各点的电位值及逻辑关系是否正确。5.2 毕业设计的体会在这次毕业设计中不管学会的还是学不会的的确觉得困难比较多,真是万事开头难,不知道如何入手。最后终于做完了有种如释重负的感觉。此外,还得出一个结论:知识必须通过应用才能实现其价值!有些东西以为学会了,但真正到用的时候才发现是两回事,所以我认为只有到真正会用的时候才是真的学会了。在此要感谢我的指导老师张家欣对我悉心的指导

    注意事项

    本文(数字密码锁电路设计与制作.doc)为本站会员(仙人指路1688)主动上传,三一办公仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三一办公(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    备案号:宁ICP备20000045号-2

    经营许可证:宁B2-20210002

    宁公网安备 64010402000987号

    三一办公
    收起
    展开