欢迎来到三一办公! | 帮助中心 三一办公31ppt.com(应用文档模板下载平台)
三一办公
全部分类
  • 办公文档>
  • PPT模板>
  • 建筑/施工/环境>
  • 毕业设计>
  • 工程图纸>
  • 教育教学>
  • 素材源码>
  • 生活休闲>
  • 临时分类>
  • ImageVerifierCode 换一换
    首页 三一办公 > 资源分类 > DOC文档下载  

    protel课程设计触发器及LD耦合电路Protel设计.doc

    • 资源ID:4146712       资源大小:754KB        全文页数:23页
    • 资源格式: DOC        下载积分:8金币
    快捷下载 游客一键下载
    会员登录下载
    三方登录下载: 微信开放平台登录 QQ登录  
    下载资源需要8金币
    邮箱/手机:
    温馨提示:
    用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP免费专享
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    protel课程设计触发器及LD耦合电路Protel设计.doc

    课程设计任务书学生姓名: 专业班级: 指导教师: 工作单位: 题 目: 触发器及LD耦合电路Protel设计 初始条件:1. 电子电路相关理论知识。2. 一台装有Protel99SE软件的计算机。要求完成的主要任务: 1. 利用Protel软件完成触发器的电路原理图的设计并生成PCB(印刷电路板)文件,然后实现电路的仿真。2. 利用Protel软件完成LD耦合电路(模拟部分)的电路原理图的设计并生成PCB文件。时间安排:第一天:一安排任务,分组;第二、三天:电路形式的确定与绘制;第四、五天:电路仿真,撰写报告;第六天:提交报告,答辩。指导教师签名: 年 月 日系主任(或责任教师)签名: 年 月 日目录摘要1Abstract21 引言31.1 Protel99SE的系统组成31.2 Protel99SE的功能特性42 电路原理图的绘制及仿真52.1 电路原理图的绘制52.1.1 电路图的选取及说明52.1.2 具体设计步骤72.2 Protel 电路仿真112.2.1 仿真原理图的绘制112.2.2 电路仿真分析的设置122.2.3 运行电路仿真133 Protel PCB印刷电路板的绘制143.1 创建PCB文件163.2 规划PCB版式并导入网络表163.3 布局与布线174 心得和体会19参考文献21摘要随着计算机技术的发展,计算机软件在电路设计中的应用来越广泛。Protel在电子行业的CAD软件中的佼佼者,它具有原理图设计、印刷电路板(PCB)、设计层次原理图设计、报表制作、电路仿真以及逻辑器件设计等功能,是进行电子电路设计最有用的软件之一。几乎所有的电子公司都要用到它。本设计以Protel为平台,叙述其在电路原理图和印刷电路图设计中的应用方法,并提出和解决该软件在设计过程中常遇到的问题及其解决办法。本设计以触发器电路、LD耦合电路为例,介绍了利用Protel软件进行电路原理图制作、PCB制作及电路仿真等功能。关键词:Protel99SE 电子电路 原理图设计 印刷电路板设计AbstractWith the development of computer technology, computer software in the application of the circuit design to widely. Protel electronic industry in the top of CAD software, it has the principle diagram design and printing circuit board (PCB), design level principle diagram design, production, circuit simulation and report the logic device design, and other functions, is most useful for electronic design one of software. Almost all of the electronics companies have to use it.This design with Protel as the platform, described in the circuit principle diagram and printing circuit design of the application of the methods, and puts forward and settle the software in the design process and its often meeted during the solution. This design to trigger circuit, LD coupled circuits as an example, this paper introduces using Protel software of the circuit principle diagram production, PCB production and circuit simulation etc. Function.Keywords: PROTEL99SE Electrical Circuit Design Schematic Circuit Design Printed Circuit Board Design1 引言PROTEL是PORTEL公司在80年代末推出的EDA软件,在电子行业的CAD软件中,它当之无愧地排在众多EDA软件的前面,是电子设计者的首选软件,其基于Windows环境的99SE版本,不仅提供了功能完备的电路设计工具,而且具备强大的电路仿真能力。Protel应用实践课程设计旨在让同学们对Protel软件的熟练操作,并对其各项强大功能的了解。本次课程设计是以脉冲宽度调制信号控制电路为例,叙述原理图绘制、原理图库元件的创建、PCB设计等的具体步骤和出现问题及其解决方法。早期的PROTEL主要作为印制板自动布线工具使用,运行在DOS环境,对硬件的要求很低,在无硬盘286机的1M内存下就能运行,但它的功能也较少,只有电原理图绘制与印制板设计功能,其印制板自动布线的布通率也低,而现今的PROTEL已发展到PROTEL99(网络上可下载到它的测试板),是个庞大的EDA软件,完全安装有200多M,它工作在WINDOWS95环境下,是个完整的板级全方位电子设计系统,它包含了电原理图绘制、模拟电路与数字电路混合信号仿真、多层印制电路板设计(包含印制电路板自动布线)、可编程逻辑器件设计、图表生成、电子表格生成、支持宏操作等功能,并具有Client/Server (客户/服务器)体系结构,同时还兼容一些其它设计软件的文件格式,如ORCAD,PSPICE,EXCEL等,其多层印制线路板的自动布线可实现高密度PCB的100布通率。 Protel99SE是应用于Windows9X/2000/NT操作系统下的EDA设计软件,采用设计库管理模式,可以进行联网设计,具有很强的数据交换能力和开放性及3D模拟功能,是一个32位的设计软件,可以完成电路原理图设计,印制电路板设计和可编程逻辑器件设计等工作,可以设计32个信号层,16个电源-地层和16个机加工层。1.1 Protel99SE的系统组成按照系统功能来划分,Protel99se主要包含以下俩大部分和6个功能模块。1、电路工程设计部分(1)电路原理设计部分(Advanced Schematic 99):电路原理图设计部分包括电路图编辑器(简称SCH编辑器)、电路图零件库编辑器(简称Schlib编辑器)和各种文本编辑器。本系统的主要功能是:绘制、修改和编辑电路原理图;更新和修改电路图零件库;查看和编辑有关电路图和零件库的各种报表。(2)印刷电路板设计系统(Advanced PCB 99):印刷电路板设计系统包括印刷电路板编辑器(简称PCB编辑器)、零件封装编辑器(简称PCBLib编辑器)和电路板组件管理器。本系统的主要功能是:绘制、修改和编辑电路板;更新和修改零件封装;管理电路板组件。(3)自动布线系统(Advanced Route 99):本系统包含一个基于形状(Shape-based)的无栅格自动布线器,用于印刷电路板的自动布线,以实现PCB设计的自动化。2、电路仿真与PLD部分(1)电路模拟仿真系统(Advanced SIM 99):电路模拟仿真系统包含一个数字/模拟信号仿真器,可提供连续的数字信号和模拟信号,以便对电路原理图进行信号模拟仿真,从而验证其正确性和可行性。(2)可编程逻辑设计系统(Advanced PLD 99):可编程逻辑设计系统包含一个有语法功能的文本编辑器和一个波形编辑器(Waveform)。本系统的主要功能是;对逻辑电路进行分析、综合;观察信号的波形。利用PLD系统可以最大限度的精简逻辑部件,使数字电路设计达到最简化。(3)高级信号完整性分析系统(Advanced Integrity 99):信号完整性分析系统提供了一个精确的信号完整性模拟器,可用来分析PCB设计、检查电路设计参数、实验超调量、阻抗和信号谐波要求等。1.2 Protel99SE的功能特性1、开放式集成化的设计管理体系;2、超强功能的、修改与编辑功能;3、强大的设计自动化功能。2 电路原理图的绘制及仿真2.1 电路原理图的绘制无论是可编程逻辑器件的设计、电路仿真,还是PCB电路板的设计,原理图的设计都是这一切工作的基础,因此在进行电路原理图的绘制时一定要保证准确无误,尽量做到图面清晰、可读性好。原理图绘制过程中要注意,应以电气连接特性为指导思想,尽量将同一芯片内的功能单元的引脚靠近放在一起,以利于PCB布线工作。2.1.1 电路图的选取及说明(1)触发器电路这里采用555定时器组成施密特触发器。其主要工作原理为:如图1所示,当Vi=0时,由于V11=V12=Vi=0,电压比较器C1输出高电平,C2输出低电平;基本RS触发器被置“1”态;则Vi=1,当Vi继续上升,但在未达到2/3Vcc以前,VO=1不会变。当Vi升高到2/3Vcc时,电压比较器C1输出低电平,C2输出高电平;基本RS触发器被置“0”态;则VO=0,此后,Vi继续上升到Vcc,然后再降低,但在降低未达到1/3Vcc以前,VO=0的状态同样也不会改变。当Vi下降到1/3Vcc时,电压比较器C1输出高电平,C2输出低电平;基本RS触发器被置“1”态;则VO=1,此后,vi继续下降到0,然后再上升,但在未达到2/3Vcc以前,VO=1的状态不会改变。图1 触发器原理示意图(2)LD耦合电路(模拟部分)下面是LD耦合电路(模拟部分)的原理图,由于电路原理比较复杂,在这里又不是重点,所以不作介绍。(a)(b)(c)图2 LD耦合电路原理图2.1.2 具体设计步骤建立工程文件、原理图文件,设置编辑环境1、建立工程文件及原理图文件。选择菜单“file/new”,建立名为“脉宽调制信号控制电路.ddb”的工程文件,然后再悬着菜单“file/new”,弹出如图3所示的对话框。单击“Schematic Document”建立名为“触发器.sch”的原理图文件。图3 New Document 对话框图4 Design/Option菜单显示的Sheet Options 对话框 (2)设置编辑环境。选择菜单“Design/Options”,弹出“Document Options”对话框,如图4。注意根据原理图的大小,设置图纸尺寸,一般选择A4。同时要选择捕捉栅格(SnapGrid)和电气特性(Electrical Grid)复选框,注意电气栅格的尺寸一定要比捕捉栅格小,而可视栅格可以根据个人的喜好显示或不显示。画图方向一般都是横向。2、绘制库元件由于本次设计要用到的一些元件如MAX4518、MAX4238及激光二极管等在Protel元件库中并没有,所以这些元件需要自己绘制。下面以画MAX4518元件为例,介绍如何制作库元件。(1)选择菜单“File/New”,出现如上图3的新建文档对话框,选择“Schematic Library Document”,新建一个名为“Mylib.lib”的原理图库文件。将此电路中要用到的,Protel库中没有的元件全部放在这个库中,以方便使用。图6 修改元件的名字(2)绘制库元件。进入刚刚建立的“Mylib.lib”的原理图库文件,界面如图5所示(注意要将工作区的(0,0)点置于当前屏幕的可视范围之内)。单击左边“Group”下的“Add”按钮,在弹出的对话框中,将元件名修改为“MAX4518”。如图6。图5 Protel绘制库元件的界面图6 将元件名改为“MAX4518”(3)单击如图5中所示工具栏中的(放置矩形框)按钮,在编辑界面下绘制一个所需适宜大小的矩形框。然后单击(放置引脚)按钮,在编辑界面中矩形框的添加16条引脚(引脚放置位置,可以看个人需求,尤其是遵循原理图连接方便。本次连接如图8)。(注意引脚的有电气特性的一端是朝外的。)图7 引脚属性对话框(4)修改引脚属性。将鼠标移动到引脚线上,双击引脚线,出现如图7所示的对话框,修改“Name”属性为引脚名称(对上划线的输入处理方法,如1脚,则输入“AD”即可)。其中,若要表示负电平输入有效的引脚外观设置,点击“Dot”打勾即可;若是时钟信号引脚,就在“Clk”项前打勾选中。绘制库元件还有很多其他的设置项,比如引脚电气特性的设定:“Elecrtical”项中的8种电气特性。Protel中,有很多技巧和知识,需要进一步的学习。经过上面几个步骤后,MAX4518库元件便绘制完毕。最终效果如图8所示。图8 制作成功的MAX45183、元件的布局与绘制原理图首先添加库文件“Mylib.lib”到Protel库中。然后在远离编辑环境下,连续按两次P键,或者选择菜单“Place/Parts”,弹出放置元件对话框。单击“Browse”按钮寻找需要添加的库元件。依次添加各个元件。并分别对元件编号和输入相应的封装号。强调:选择菜单“Place/Power Port”,进入放置电源端口状态。按Tab键进入其属性对话框,电源端口类型分为:Circle,Arrow,Bar,Wave,Power Ground,Signal Ground,Earth 7种类型。元件布局结束后,就可以对器件间的管脚进行电气连接。选择菜单“Place/Wireless”,或者单击工具栏中的(放置导线)按钮,即可执行连线操作。4、设计检查原理图绘制完成之后,还需要对原理图进行编译并对其连接进行检查,检查无误后才能进入PCB班的设计阶段,系统会按照用户的设置及问题的严重性,分别以“Error”(错误)或“Warning”(警告)等信息来提醒用户。选择“Tools/ERC”菜单,保留默认设置,单击“OK”,进行电气规则设计检查。检查后会生成与电路图同名、后缀为“ERC”的文件。至此原理图设计完成。5、生成材料清单和建立网络表执行菜单命令“Reports/BILL OF MATERIAL”出现新的对话框选择sheet点击下一步,其他默认直到倒数第二步将Protel Format、CSV Format、Client Spreadsheet全部选中点击下一步和Finish就生成了材料清单,材料清单见附录1。网络表是原理图与印制电路板之间的一座桥梁,是印制电路板自动布线的依据。网络表提供了电路的元件清单以及元件之间的互联关系。使用菜单命令“Design/Create Netlist”,在弹出的对话框中,除了Sheet to Netlist选择Active Sheet外,其余选项均使用默认值。单击OK即可生成与原理图同名的网络表文件*.net。2.2 Protel 电路仿真本小节以555定时器构成的施密特触发器为例,说明在Protel中如何绘制电路原理图并用于仿真。2.2.1 仿真原理图的绘制只有选自Sim.ddb的元件才能用于仿真,其它的元件库都不能用于仿真。所以首先要在原理图编辑器中载入仿真元件库Sim.ddb。在电路图上放置仿真元件,并设置元件的仿真参数;放置连线,绘制仿真电路原理图;在仿真电路原理图中添加电源及激励源;设置仿真节点以及电路的初始状态;接着对电力原理图进行ERC检查,如果电路中存在错误,要先纠正错误才能进行仿真。这些步骤及绘制方法与第四部分电路原理图的绘制内容完全一致,最后绘制的仿真原理图如图9。最重要的是设置仿真分析的参数。图14 绘制仿真电路原理图绘制成功的施密特触发器电路图如下:图9 施密特触发器电路原理图2.2.2 电路仿真分析的设置执行菜单命令“Simulation/Setup.”,弹出如图10的对话框。图10 仿真分析对话框在“General”标签中的“Select Analyses to Run”栏下,选择仿真分析的方法。这里选择“Operating Point Analysis”(直流工作点分析)和“Transient/Fourier Analysis”(瞬态分析/傅里叶分析)。在对话框中的“Collect Data For”下拉表中,有5个不同的数据存储类型。这里选择“Node Voltages ,Supply Currents ,Device Currents and Powers”(存储每个节点的电压、每个供电电源的电流以及每个元件上的电流和功耗)。接着指定所要显示数据的节点,直接双击“Available Signals”列表总需要的节点,就会在“Active Signals”列表栏中列出。如图13所示,选中“VIN”和“VOUT”。其他需要修改的参数,可以在另外的8个标签下的设置项修改。2.2.3 运行电路仿真仿真器在仿真时需要用到SPICE网络表。执行菜单命令“Simulate/Create SPICE Netlist”生成SPICE网络表文件*.nsx。在设置好电路原理图和仿真分析的参数后,执行菜单命令“Simulated/Run”就可以运行电路仿真了。仿真结果文档*.sdf将存储在本电路的设计数据库文件中,并在一个新的窗口(仿真波形分析器窗口)中显示,同时还会生成一个“*.cfg”的文件,其内保存有仿真分析参数的设置内容。如图11,是施密特触发器电路进行仿真后的波形分析器窗口。图11 施密特触发器仿真波形3 Protel PCB印刷电路板的绘制本章以施密特触发器及耦合电路(模拟部分)为例,先创建原理图,经过电气检查、生成网络表文件无误后,便可根据此网络表文件建立文件。施密特触发器电路原理图见图9,LD耦合电路(模拟部分)的电路图如下:图12 LD耦合电路原理图3.1 创建PCB文件同创建sch电路图文件一样,双击进入document,执行菜单命令“file/new”,双击“PCB Document”选项即可创建PCB文件。在生成PCB文件之前应保证原理图没有错误,文件生成后将所需要的库文件导入到PCB,否则即使原理图无错误系统也会报错。3.2 规划PCB版式并导入网络表(1)设定工作层面:执行菜单命令Design/Options后,得到图13所示对话框。图13 Design/Options页面基本工作层面说明: 信号层(Signal Layers):用来放置元件、导线等与电气信号有关的电气元素。对于制作双面板而言,要选中顶层铜膜布线面(Top Layers)和底层铜膜布线面(Bottom Layers)。丝印层(Silkscreen):用于绘制元件的外形轮廓,元件序号和标注字符等。一般选中顶层(Top overlay)即可。防护层(Mask):自动生成,不选。禁止布线层(Keep Out Layer):用于规定放置元件和布线的区域。多层面(Multi layer):用于快速把对象(例如,焊盘和过孔)加入到所有的信号层,选中即可。其它的选项使用默认设置即可。(2)规划电气边界:在禁止布线层(Keep Out layer)进行。首先点击相应的层面标签:然后在此层面上绘制一个区域(一般为方形)。在*.Sch文件界面下,执行菜单命令Design/Update PCB,会出现一个界面。全部使用默认值。然后单击 “Preview Change” 按钮进入Update Design对话框的Changes选项卡,可以发现更新过程是否存在错误。如果没有错误,单击对话框的按钮Execute即可将本次更新的变动反映的PCB文件中。(3)在PCB状态下执行菜单命令“Design/Netlist”,在出现的对话框中点击标Brose Text然后选择之前创建好的后缀名为.net的网络表。若元件封装、原理图均无错误后即可在PCB版上生成元器件。在网络表文件载入时,常常会出现两种错误:Footprint Not Available(封装元件遗漏)、Node Not Found(引脚遗漏)。在加载的时候,应该注意改正错误,得到正确的网络表。3.3 布局与布线由于这次的电路图元件较多,不适合自动布局,所以采取边布局边布线的方法,手工完成电路板的设计。手工布局,可以完全按照电路工作的实际需要,来进行元件的布局,所生成的元件布局可以符合实际应用的要求,也有利于后面的布线操作。当然,也可以采取自动布局和手工布局的结合可以提高效率。此次的边布局边布线的方法既可以根据布局来布线,又可以以根据走线来布局。对于制作“脉冲宽度调制信号电路”的PCB文件,具体如下:(1)执行菜单命令“Tools/Auto Place”,在弹出的自动布局设置对话框中,选择采用“Cluster Placer”方式进行元件的快速布局。(2)用鼠标将所有的元件选中,并将她们移出所设定的电路板范围。移动完毕后,取消图件的选中状态。考虑到在电路板上,一般式先进行电源的布线,以从上至下,从左至右的顺序来进行元件的布局和走线。()将一部分有关的元件手动移动到电路板的左边,进行手工布线。一般的,顶层采取水平走线,底层采取垂直走线。()布线完毕后,执行菜单命令“Tools/Design Rules Check”,对短路情况和没有布置的连线进行检查,如果不存在错误,那么对PCB 的设计就完成了。本设计中对施密特触发器和LD耦合电路都生成了PCB图,如图14和图15所示。图14 施密特触发器的PCB图图15 LD耦合电路的PCB图4 心得和体会这一学期末,我们做了一个protel软件的应用的课程设计。这个软件的功能是模拟电路的输入输出,帮助人们进行智能分析,这样一来,我们就将人工根本不能计算或者是特别繁琐的计算通过计算机轻而易举的就可以获得我们想要的结果。因此,这带给我们极大的方便。在大二上学期电工实习时接触过Protel,当时只是在老师的细心指导下一步一步地跟着学,有种“依葫芦画瓢”的样子,而且进行的是较为简单的电路,其中布局布线也更多直接采用自动布局和布线,也没有进行仿真,所以还有很多功能没有用到。而这次是要靠自己去摸索,在完成课程设计的过程中,遇到了很多的困难。例如:在制作印刷电路板时,最经常出现问题的是元件封装号,如果元件的封装号不正确,就无法从网络表载入到PCB界面,一些常用器件(电阻、电容、二极管、三极管等)的封装号很容易查到,但是有些不常用的器件就很难找到,经常在网上百度,翻看了很多的网站才能找到,甚至还找不到的。但也恰是如此,让自己在不知不觉中学到了一些知识。通在这次学习和应用过程中,我感受颇深。在绘制原理图时,还是比较顺利的,相关的器件能在元件库里找的就放置上去,而MAX4518及其他一些元件件是自己画的元件,在原理图编辑器里添加这两个自己用心画的库元件。感觉还是很高兴的,在画元件的时候,也有相关的很多知识要学习和注意的。在进行ERC电气化检查的时候,出现了错误,仔细研究才知道是芯片的引脚悬空。一开始是按照自己选取的电路图画的,没有太仔细具体研究整个电路,询问过同学,才知道可以把它忽略或者在原理图上设置引脚“No ERC”。在生成网络表,创建PCB文件,载入网络表的时候,一开始出现了几十个错误,除了是封装遗漏,还有引脚遗漏的问题。我右击,点击“Report”让它生成报表,一个一个的检查,排除错误。其中一大原因是因为插接件没有相应的封装号,所以要自己画封装。此次绘制插接件封装是通过向导来完成的,深一层的自己设计还没有学习,在今后要加强学习。画好了封装,便把它加载到PCB的库元件中。还有是电容的的封装,查找资料是“RB.2”,但生成PCB时发现有问题,后来咨询同学,才知道其封装为RAD0.10,4。关于引脚错误,出现在光电二极管上,须要封装和原理图的引脚名称一致,所以修改其一就可以了。在载入网络表后,在对元件进行布局和布线的时候,因为这一次主要是学习手动布局和手动布线,参照参考书,学习它的方法和这么布局和布线的原因,懂得了很多。电路仿真过程中,由于不经常接触protel的电路仿真,一开始是什么都不懂,按着参考书,一步一步的学习,从加载Sim.ddb到用其中仿真元件绘制原理图,仿真网络标号等。这次由于选取电路的马虎,导致不能完美地完成整个电路的仿真。但是先从小学起也是好的。简单的触发器电路,我也花费了很多的时间和精力,因为有很多不懂的,或者是不能自己灵活掌握并运用的。但最后,在多次的尝试下,终于仿真成功了。一个简单的波形,来自于汗水点点滴滴。我们要学的东西还要很多,就这个软件来说,我们知道的还只是冰山一角。想要更好地利用软件,还要很多是要学习的。能吃透了,也是一门技术。总之,今后我要更加努力地学习Protel等各种实用的软件,从多方面提升自己的专业技能。参考文献 1 何英,PROTEL应用与入门,机械工业出版社,2009.2 彭军,实用电子技术,科学出版社,20083 王玉连,零起步轻松学Protel 99 SE电路设计,人民邮电出版社2006,4 陈希有,电路理论基础,高等教育出版社20075 赵晶,电路设计与制作Protel 99 高级应用,人民邮电出版社20086 谢自美,电子线路设计 实验 测试(第三版),华中科技大学出版社 2006

    注意事项

    本文(protel课程设计触发器及LD耦合电路Protel设计.doc)为本站会员(仙人指路1688)主动上传,三一办公仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三一办公(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    备案号:宁ICP备20000045号-2

    经营许可证:宁B2-20210002

    宁公网安备 64010402000987号

    三一办公
    收起
    展开