欢迎来到三一办公! | 帮助中心 三一办公31ppt.com(应用文档模板下载平台)
三一办公
全部分类
  • 办公文档>
  • PPT模板>
  • 建筑/施工/环境>
  • 毕业设计>
  • 工程图纸>
  • 教育教学>
  • 素材源码>
  • 生活休闲>
  • 临时分类>
  • ImageVerifierCode 换一换
    首页 三一办公 > 资源分类 > DOC文档下载  

    毕业设计(论文)数字频率计的设计.doc

    • 资源ID:3982753       资源大小:592KB        全文页数:33页
    • 资源格式: DOC        下载积分:8金币
    快捷下载 游客一键下载
    会员登录下载
    三方登录下载: 微信开放平台登录 QQ登录  
    下载资源需要8金币
    邮箱/手机:
    温馨提示:
    用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP免费专享
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    毕业设计(论文)数字频率计的设计.doc

    数字频率计的设计作者姓名: 专业名称:应用电子指导教师:摘要测频的基本原理是采用在高频段直接测频法,在低频段测周期法的设计思路;硬件部分由放大电路、波形变换和整形电路、闸门时基控制电路、分频电路、单片机和数据显示电路组成;软件部分由信号频率测量模块、周期测量模块、定时器中断服务模块、数据显示模块等功能模块实现。应用单片机的控制功能和数学运算能力,实现计数功能和频率、周期的换算。设计的频率计测量范围广,测量精度较高,平均相对误差低。另外,对频率测量过程中数据误差的来源进行了探讨,提出了减小误差的措施。最后,还对频率计的设计方案提出了可扩展的地方。关键词:数字频率计; max7128s;频率测量;周期测量AbstractSelected design ideas which directly measuring frequency law in the high-band and testing cycle law in the low-band; The hardware partially is composed by enlarged circuit、the profile transformation and the reshaping circuit、the gate at the base control circuits、sub-frequency circuits、the microcontroller and the data display electric circuit; The software design is achieved by many functional modules, such as the signal frequency measurement module、the signal cycle survey module、timer interruption of service module、the data display module and so on. Achieving counting function and conversion between cycle and frequency by using control functions and mathematics operation ability of microcontroller. Like these the survey scope can achieve broad, both can reach the frequency range requirements designed, the measuring accuracy high, and can cause the average relative measuring error to be small. In addition, the article has carried on the discussion to the data error origin in the process surveyed the frequency, and proposed the measures reduce the measuring error. Finally, the article also raise the frequency of the design options will be further improved.Keywords: Digital frequency meter; max7128s; Frequency measurements; Measurement cycle目录摘要IAbstractII目录III前言11频率测量方法概述31.1频率测量方法31.2 本次设计方法选择51.2.1 被测信号频率较高时51.2.2 被测信号频率较低时62数字频率计种类73数字频率计的发展趋势84字频率计设计方案及其论证114.1设计方案114.2方案论证及选用依据135 设计原理156课题设计的总体方案166.1 系统原理框图166.2 原理图及PCB展示176.3 系统软件设计196.3.1 系统软件框图196.3.2 软件程序设计21总结24致谢25参考文献26前言随着科学技术的发展和人民物质生活的提高,人们对科技产品的要求已不仅仅停留在模拟器件时代,数字化的电子产品越来越受到欢迎。频率计作为比较常用和实用的电子测量仪器,广泛应用于科研机构、学校、家庭等场合,因此它的重要性和普遍性勿庸质疑。数字频率计具有体积小、携带方便;功能完善、测量精度高等优点,因此在以后的时间里,必将有着更加广阔的发展空间和应用价值。比如:将数字频率计稍作改进,就可制成既可测频率,又能测周期、占空比、脉宽等功能的多用途数字测量仪器。将数字频率计和其他电子测量仪器结合起来,制成各种智能仪器仪表,应用于航空航天等科研场所,对各种频率参数进行计量;应用在高端电子产品上,对其中的频率参数进行测量;应用在机械器件上,对机器振动产生的噪声频率进行监控;等等。研究数字频率计的设计和开发,有助于频率计功能的不断改进、性价比的提高和实用性的加强。以前的频率计大多采用TTL数字电路设计而成,其电路复杂、耗电多、体积大、成本高。随后大规模专用IC(集成电路)出现,如ICM7216,ICM7226,MAX7128S频率计专用IC,使得频率计开发设计变得简单,但由于价格较高,因此利用IC设计数字频率计的较少。现在,单片机技术发展非常迅速,采用单片机来实现数字频率计的开发设计,实现频率的测量,不但测量准确,精度高,而且误差也很小。科学技术发展到今天,数字化产品以其独特的优越性而越来越受到广大消费者的认可。频率计作为一件很普通的电子器件,广泛应用于科研机构、学校、实验室、企业生产车间等场所。数字频率计具有体积小、携带方便;功能完善、测量精度高等优点,因此在以后的时间里,必将有着更加广阔的发展空间和应用价值。研究数字频率计的设计和开发,有助于频率计功能的不断完善、性价比的提高和实用性的加强。下面将从测量频率的方法、现阶段频率计的种类和频率计的发展趋势三方面进行论述。1频率测量方法概述1.1频率测量方法频率测量的方法主要有以下几类:(如图1-1所示)频率测量方法模拟法数字法直读法比较法电桥法谐振法拍频法差频法示波法李沙育图形法测周期法电容充放电式电子计数式图1-1 频率测量方法直读法又称无源网络频率特性测量法;比较法是将被测频率信号与已知频率信号相比较,通过观、听比较结果,获得被测信号的频率;电容充放电式计数法是利用电子电路控制电容器充放电的次数,再用电磁式仪表测量充放电电流的大小,从而测出被测信号的频率值;电子计数法是根据频率定义进行测量的一种方法,利用电子计数器显示的单位时间内通过被测信号的周期个数来实现频率的测量。由于电子计数式测量频率具有精度高、测量范围宽、显示醒目直观、测量迅速以及便于实现测量过程自动化等一系列优点而被广泛使用。电子计数式测量频率有以下几种方法:(1)脉冲数定时测频法(M法):记录在确定时间里待测信号的脉冲个数。其特点是:测量方法简单;测量精度与待测信号频率和门控时间有关,当待测信号频率较低时,误差较大。(2)脉冲周期测频法(T法):在待测信号的一个周期里记录标准频率信号变化次数。此法低频检测时精度高,但高频检测时误差较大。(3)脉冲数倍频测频法(AM法):此法是为克服M法在低频测量时精度不高的缺陷发展起来的。通过A倍频,把待测信号频率放大A倍,以提高测量精度。其特点是待测信号脉冲间隔减小,间隔误差降低;低频测量精度比M法高A倍,但控制电路较复杂。(4)脉冲数分频测频法(AT法):此法是为了提高T法高频测量时的精度而发展起来的。由于T法测量时要求待测信号的周期不能太短,所以可通过A分频使待测信号的周期扩大A倍。其特点是高频测量精度比T法高A倍;但控制电路也较复杂。(5)脉冲平均周期测频法(M/T法):此法是在闸门时间内,同时用两个计数器分别记录待测信号的脉冲数M和标准信号的脉冲数。其特点是在测高频时精度较高;但在测低频时精度较低。(6)多周期同步测频法:是由闸门时间与同步门控时间共同控制计数器计数的一种测量方法,待测信号频率与M/T法相同。此法的优点是:闸门时间与被测信号同步,消除了对被测信号计数产生的±1个字误差,测量精度大大提高,且测量精度与待测信号的频率无关,实现了在整个测量频段等精度测量1.2 本次设计方法选择因为受实验条件及设备所限,我们本次设计选用脉冲数定时测频法(M法)。1.2.1 被测信号频率较高时本方法通常选用一个频率较低的标准频率信号作为闸门信号,而将被测信号作为充脉冲,在固定闸门时间内对其计数。设闸门宽度为T,计数值为N,则这种测量方法的频率测量值为:=测量误差主要决定于闸门时间T和计数器记得的数的准确度,因此,总误差可以采用分项误差绝对值线性想家来表示,即:其中,是最大量化误差的相对值,,的产生是由于测频时,闸门的开启时刻与计数脉冲之间的时间关系不相关造成的,即在相同的主门开启时间内,计数器所得的数并不一定相同。当主门开启时间T接近甚至等于被测信号周期Tx的整倍时,量化误差最大,最大量化误差为个数。为标准的准确度等于闸门时间的相对误差的准确度,即:式中负号表示由引起的闸门时间误差为。通常,对标准频率的准确度的要求是根据所要求的测频准确度而提出来的。因此,为了是标准误差不对测量结果产生影响,标准频率的准确度应高于被测信号准确度至少一个数量级。因此,测量较高的信号频率时,若已定,闸门时间T越小,测量准确度越高,当T选定后,越高,个数字误差对测量结果影响越小,测量准确度越高。1.2.2 被测信号频率较低时通常被测信号被选为闸门信号,而将频率较高的标准信号作为填充脉冲,进行计数,设计数值为N,标准频率信号的频率为,周期为,有:使用这种方法测频的误差主要是对标准信号计数产生的个数字误差,在忽略标准频率信号自身误差的情况下,测量精度为“由上可知:脉冲数定时测频法的优点是,测量方便,读书直接,在比较宽得频率范围内能够获得较高的测量精度。他的缺点是:由于被测信号个数字误差的存在,难以兼顾低频和高频实现等精度测量,所以针对高频测量的频率计在测量低频信号时精度较低。2数字频率计种类 现在市面上通常使用的数字频率计主要有以下几种:1、 采用中小规模数字集成电路,用机械式功能转换开关换挡,完成测量频率、周期以及脉宽等功能的计数器。此种数字频率计是较早时期的电子产品,到现在中小规模集成电路应用技术不断完善时,它的应用也不断得到加强。但很明显,此种数字频率计已处于淘汰阶段,由于其自身不具备智能化、自动化,完全借助于机械示的操作,对一些智能的频率计功能已无法完成,所以,现在使用这种数字频率计的已经很少2、 采用现场可编程门阵列(CPLD/FPGA)作为系统控制核心制成的数字频率计。它通过EDA技术和硬件描述语言(VHDL)对进行数字频率计的设计。这种技术是在近几年才发展起来的新技术,具有很大的发展空间和应用价值3、 采用单片机为系统控制核心的数字频率计。这种数字频率计具有非常明显的优势:体积小,所用芯片少,精度高,测量范围广,易于扩展功能,智能化、自动化强度高,便于控制。因此采用单片机技术设计数字器件已逐渐成为主流。3数字频率计的发展趋势科学技术发展越快,产品的更新周期就越短,数字化电子产品更是如此。数字频率计作为一种电子测量仪器,其发展趋势主要向以下三个方向发展。发展趋势之一:从以前的模拟器件设计数字频率计逐步转变为数字芯片设计数字频率计。这样的转变使得频率计的设计更趋于自动化、智能化。现在的电子产品主要是采用EDA技术和单片机技术作为核心控制系统,辅以外围电路,制成高端数字化产品。频率计正是朝着这个方向发展。EDA技术是以计算机为工具,在EDA软件平台上,根据硬件描述语言VHDL完成设计文件,自动地完成逻辑编译、化简、分割、综合及优化布局线、仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作2。FPGA/CPLD是高密度现场可编程逻辑芯片,能够将大量的逻辑功能集成于一个单个器件中,它提供的门数从几百门到上百万门,可以满足不同的需要。数字频率计借助于EDA工具FPGA/CPLD进行开发有很大的优越性:(1)编程方式简便、先进。(2)高速。(3)高可靠性。(4)开发工具和设计语言标准化,开发周期短。(5)功能强大,应用广阔。这样的优点使得数字频率计的设计变得简单。但同时,采用EDA技术开发频率计存在一个缺点:对电路进行逻辑综合优化时,最终设计和原始设计在逻辑实现和时延方面有一定的误差,这样使得频率计的测量精度受到很大影响。因此EDA技术还需要不断地改进,以解决在测量时存在的问题,适应电子产品测量的要求。但肯定的是,用EDA技术进行电子产品的设计、开发是有很大发展前景的。单片机技术设计数字频率计是现阶段电子产品开发时采用的主要技术,它在今后的一段时间内仍然占据着主导地位。单片机是单片微型计算机的简称,将把微型计算机的三大组成部分(CPU+存储器+I/O接口)和一些实时控制所需要的功能器件集成在该芯片上,来实现计算机的部分功能3。在实际应用中大都嵌入到控制系统中,所以单片机系统也叫嵌入式系统。现在国内单片机应用中最常见的有Intel公司的MCS系列,Microchip公司的PIC16系列,台湾凌阳公司的SPCE061X系列。单片机设计数字频率计有着很多的优点:(1)集成度高。(2)系统结构简单,性价比高。(3)系统扩展方便。(4)抗干扰性能强,可靠性高。(5)处理能力强,速度快。(6)开发方便。(7)兼容性好。另外,单片机内部强大的运算能力和控制功能,使得开发像频率计这样对测量精度要求很高的电子仪器时,变得更加的有效。内部丰富的存储资源,能够满足频率计设计的各种不同需要。可以说,单片机的进步指导着频率计的发展方向。发展趋势之二:在功能上从以前的仅实现单一频率测量扩展到还能测量周期、占空比、脉宽等各种参数指标。数字技术的不断成熟,使得在一块很小的板子上制作大规模、多功能的电子产品变得非常的容易、方便。当然,功能的实现是以强大的软件技术做后盾的。以后的频率计等测量仪器将在编程语言的不断优化下,数字技术的不断完善下实现更多的功能。发展趋势三:频率计虚拟化。随着计算机的普及,利用计算机做显示和操作平台的虚拟仪表,也越来越被广泛运用。目前主流的开发平台是NI公司的Lab VIEW。电子测量技术的发展,单片机技术和大规模可编程数字逻辑电路的普及,为频率测量的结构简单化提供了技术基础,使得频率测量正朝着高灵敏度、高可靠性、全集成化和智能化方向发展。智能化芯片的运用,使得同一硬件具有多种不同的功能,为多样化、系列化带来了便利。以后数字化智能频率计将在满足测量要求和实现功能的同时,也为操作频率计的人,带来使用上的方便和舒适。总之,数字频率计正向着更高的精确度,更低的测量误差,更多的功能实现,更少的价格,更人性化,更智能化方向发展。4字频率计设计方案及其论证4.1设计方案方案一:系统测频部分采用中小规模数字集成电路,完成频率计测量功能。原理框图如图4-1所示。该方案的特点是中小规模集成电路应用技术成熟,能可靠的完成频率计的基本功能,但由于系统功能要求较高,所以电路过于复杂。通道放大主门计数、锁存、显示电源门控晶体振荡源分频图4-1 方案一的原理框图方案二:采用专用频率计模块实现频率测量。由频率计专用模块(如ICM7128S)构成,它的特点是结构简单,量程可以自动切换6。原理框图如图4-2所示。ICM7128S部带有放大整形电路,可以直接输入模拟信号。外部振荡部分选用一块高精度晶振体和两个低温系数电容构成10MHz并联振荡电路。用转换开关选择10ms、0.1s、1s和10s四种闸门时间,同时量程自动切换。缓冲电路是为了让频率计采用记忆方式,即计数过程中不显示数据,待计数过程结束后,显示测频结果,并将此显示结果保持到下一次计数结果,显示时间不小于1s,小数点位置随量程自动移动。芯片驱动电路输出15mA35mA的峰值电流,所以在5V电源下可直接点亮LED。ICM7128S显示晶 振模拟信号图4-2 方案二的原理框图方案三:系统采用可编程逻辑器件(PLD,如ATV2500)作为信号处理及系统控制核心,完成包括计数、门控、显示等一系列工作。该方案利用了PLD的可编程和大规模集成的特点,使电路大为简化,但测量精度不够高,导致系统性价比降低,系统功能扩展受到限制。原理框图如图4-3所示。晶体产生的高频信号由PLD进行的多级分频通道PLD计数及BCD译码显 示图4-3 方案三的原理框图方案四:系统采用单片机AT89C52作为控制核心,门控信号由AT89C52内部的计数/定时器产生。由于单片机的计数频率上限较低(24MHZ晶振时约为500KHZ),所以需对高频被测信号进行硬件预分频处理,AT89C52则完成运算、控制功能。由于使用了单片机,使整个系统具有极为灵活的可编程性,能方便地对系统进行功能扩展与改进。原理框图如图2-4所示。脉冲形成电路分频电路74LS393主 控AT89C52单片机LED显示闸门开关74LS08门控信号图4-4 方案四的原理框图 以上方案均需使用小信号放大、整形通道电路来提高系统的测量精度和灵敏度。4.2方案论证及选用依据方案一采用的是中小规模数字集成电路,虽然能够实现频率的测量,但其功能扩展不易实现,智能化程度也不高,不符合目前数字频率计的发展要求。方案三在设计方法、硬件电路的实现上都要比方案一和方案二简洁、新颖,而且采用可编程逻辑器件(PLD)的EDA技术也是现代电子产品开发的方向,应用这种技术来实现数字频率计的设计是可行的。但从系统设计的要求上看,要能实现测量频率是2HZ50MHZ的范围,以频率下限2HZ为例,要达到误差小于0.1的目的,必须显示3位的有效数字,而使用直接测频的方法,要达到达个测量精度,需要主门连续开启100秒。方案四的设计思路是非常简单的,电路也不复杂,虽然灵活性比较高,但是因为是采用单片机,不符合设计要求,所以就不予考虑了。方案二的设计思路是非常简单的,电路也不复杂,因此我们选用方案二做我们的频率计设计。 5 设计原理本系统采用等精度测频的原理来测量频率,其原理如图4-1所示:闸门信号标准频率信号被测信号ENFSCLRENFINCLRCNTID Q清零信号图5-1.等精度测频原理图6课题设计的总体方案6.1 系统原理框图系统由一片CPLD完成各种测试功能,对标准频率和被测信号计数、控制、测量结果数据的处理;最后将测量结果送LED显示输出。用20MHZ的有源作为CPLD的测试标准频率。系统组成原理如图5-1可编程芯片20MHZ标准频率译码电路被测信号LED显示电路图6-1.系统原理框图6.2 原理图及PCB展示主体原理图接口原理图PCB6.3 系统软件设计6.3.1 系统软件框图软件模块对应于硬件电路的每一个部分,还包括部分数据计算和转换模块。CPLD模块用VHDL语言编写,并再MAX+Plus平台上,完成CPLD的软件设计、编译、调试、仿真和下载。系统初始化后,主程序不断扫描子程序,当按键按下是,程序跳转到相应的子程序执行起功能,然后返回继续执行键盘扫描主程序。起主程序流程图如图6-2所示。开始系统初始化扫描N判断Y测脉宽子程序测频子程序图5-2.主程序流程图6.3.2 软件程序设计library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity cymoneter is port /端口定义( sysclk : in std_logic; /基准频率输入端口 clkin : in std_logic; /被测频率输入端口 seg7 : out std_logic_vector(6 downto 0); /数码管断码输入端口 scan : out std_logic_vector(7 downto 0);/数码管位码输入端口(扫描端口) end entity cymoneter ;architecture one of cymoneter is signal cnt: std_logic_vector(24 downto 0); signal clk_cnt:std_logic; signal cntp1,cntp2,cntp3,cntp4,cntp5,cntp6,cntp7,cntp8:std_logic_vector(3 downto 0);signal cntq1, cntq2, cntq3, cntq4, cntq5, cntq6, cntq7, cntq8: std_logic_vector(3 downto 0);signal dat:std_logic_vector(3 downto 0); /中间信号的定义begin process(sysclk) begin if sysclk'event and sysclk='1' then /闸门信号 if cnt=19999999 then clk_cnt<= not clk_cnt;cnt<=(others=>'0'); /假设基准频率为20MHZ else cnt<= cnt+1; end if ;end if;end process;process(clkin)begin if clkin'event and clkin='1' then /计算被测信号的频率 if clk_cnt='1' then if cntp1="1001" then cntp1<="0000" if cntp2="1001" then cntp1<="0000" if cntp3="1001" then cntp1<="0000" if cntp4="1001" then cntp1<="0000" if cntp5="1001" then cntp1<="0000" if cntp6="1001" then cntp1<="0000" if cntp7="1001" then cntp1<="0000" if cntp8="1001" then cntp1<="0000" else cntp8<= cntp8+1 ;end if; else cntp7<= cntp7+1 ;end if; else cntp6<= cntp6+1 ;end if; else cntp5<= cntp5+1 ;end if; else cntp4<= cntp4+1 ;end if; else cntp3<= cntp3+1 ;end if; else cntp2<= cntp2+1 ;end if; else cntp1<= cntp1+1 ;end if; else if cntp1/="0000" or cntp2/="0000" or cntp3/="0000" or cntp4/="0000" or cntp5/="0000" or cntp6/="0000" or cntp7/="0000" or cntp8/="0000" then cntq1<=cntp1;cntq2<=cntp2;cntq3<=cntp3; cntq4<=cntp4;cntq5<=cntp5;cntq6<=cntp6; cntq7<=cntp7;cntq8<=cntp8; cntp1<= "0000"cntp2<= "0000"cntp3<= "0000" cntp4<= "0000"cntp5<= "0000"cntp6<= "0000" cntp7<= "0000"cntp8<= "0000" end if ; end if; end if;end process;process(cnt(15 downto 13),cntq1,cntq2,cntq3,cntq4,cntq5,cntq6,cntq7,cntq8,dat) begin case cnt(15 downto 13) is /数码管的位选控制 when"000" => scan<="00000001" ;dat<=cntq1; when"001" => scan<="00000010" ;dat<=cntq2; when"010" => scan<="00000100" ;dat<=cntq3; when"011" => scan<="00001000" ;dat<=cntq4; when"100" => scan<="00010000" ;dat<=cntq5; when"101" => scan<="00100000" ;dat<=cntq6; when"110" => scan<="01000000" ;dat<=cntq7; when"111" => scan<="10000000" ;dat<=cntq8; when others=> null; end case; end process;process(dat) begin case dat is /数码管断选控制 when"0000" =>seg7<="1111110" when"0001" =>seg7<="0110000" when"0010" =>seg7<="1101101" when"0011" =>seg7<="1111001" when"0100" =>seg7<="0110011" when"0101" =>seg7<="1011011" when"0110" =>seg7<="1011111" when"0111" =>seg7<="1110000" when"1000" =>seg7<="1111111" when"1001" =>seg7<="1111011" when others=> null;end case;end process;end architecture one总结测频的基本原理是采用在高频段直接测频法,在低频段测周期法的设计思路;硬件部分由放大电路、波形变换和整形电路、闸门时基控制电路、分频电路、单片机和数据显示电路组成;软件部分由信号频率测量模块、周期测量模块、定时器中断服务模块、数据显示模块功能和频率、周期的换算设计的频率计测量等功能模块实现。致谢参考文献1 魏善沛. 电子商务网站开发与实现M. 高等教育出版社, 2004.2 翟裕忠. 电子商务应用开发技术M. 高等教育出版社, 2000.3 飞思科技产品研发中心. JSP应用详解M. 电子工业出版社, 2002.4 杨珏, 卢银娟, 赵昊彤. JSP网络开发技术M. 人民邮电出版社,2001.5 肖刚. 网上商店程序设计M. 电子工业出版社,2000.6 鲁晓东, 李育龙, 杨健. JSP软件工程案例精解M. 电子工业出版社, 2005.7 赵慧勤, 张景安, 傅文博等. 网络数据库应用技术M. 机械工业出版社, 2005.8 S. C. Ahalt, A.K. Krishnamarthy, D. E. Melton, P. Chen. Competitive Learning Algorithms for Vector QuantizationJ. Neural Networks, 1990, 3: 277-290.注:(1)参考书的内容和顺序为:序号 作者姓名. 书名或论文名文献类型. 出版社名, 出版年份.(2)参考文章的内容和顺序为:序号 作者姓名. 书名或论文名文献类型. 期刊名, 出版年份,月份或期号: 论文在期刊中的起始页码.(3)参考文献中作者为3人或少于3人应全部列出,3人以上只列出前3人,后加“等”或“et al”(英文).多个作者之间用英文逗号隔开。(4)参考文献的类型标识:M(Monograph)表示专著或书,J(Journal)表示期刊杂志,N表示报纸,C表示会议论文集,R表示科学技术报告,D表示学位论文,P表示专利文献,S表示标准,Z表示其它未说明的类型。电子参考文献类型及其标识如下:电子参考文献类型数据库计算机程序电子公告电子文献类型标识DBCPEB

    注意事项

    本文(毕业设计(论文)数字频率计的设计.doc)为本站会员(仙人指路1688)主动上传,三一办公仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三一办公(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    备案号:宁ICP备20000045号-2

    经营许可证:宁B2-20210002

    宁公网安备 64010402000987号

    三一办公
    收起
    展开