欢迎来到三一办公! | 帮助中心 三一办公31ppt.com(应用文档模板下载平台)
三一办公
全部分类
  • 办公文档>
  • PPT模板>
  • 建筑/施工/环境>
  • 毕业设计>
  • 工程图纸>
  • 教育教学>
  • 素材源码>
  • 生活休闲>
  • 临时分类>
  • ImageVerifierCode 换一换
    首页 三一办公 > 资源分类 > DOC文档下载  

    基于VHDL的电梯控制系统设计论文.doc

    • 资源ID:3939502       资源大小:492.50KB        全文页数:44页
    • 资源格式: DOC        下载积分:8金币
    快捷下载 游客一键下载
    会员登录下载
    三方登录下载: 微信开放平台登录 QQ登录  
    下载资源需要8金币
    邮箱/手机:
    温馨提示:
    用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP免费专享
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    基于VHDL的电梯控制系统设计论文.doc

    论文题目:基于VHDL的电梯控制系统设计专业: 电气工程及其自动化摘 要电梯是标志现代物质文明的垂直运输工具,是机电一体化的复杂运输设备。而电梯的核心是电梯控制器。对于电梯的控制,传统的方法是使用继电器接触器控制系统进行控制,随着技术的不断发展, 采用硬件描述语言VHL来完成电梯控制器的设计, 是设计智能化的标志。本文结合EDA自上而下的设计特点分析了电梯控制系统的工作原理,给出了电梯控制系统的设计框图,并用VHDL语言描述出了整个系统,最后用Quartus软件进行了仿真,分析了仿真结果,进行了总结。本文结构主要分成四大部分:第一章介绍了电梯控制系统的发展情况及发展趋势,交代了课题的研究背景,并对要完成的工作做了介绍;第二章介绍了EDA技术的主要特点,并对VHDL语言的功能及其结构进行了描述,并着重介绍了状态机的含义;第三章以VHDL语言作为描述工具,对四层电梯的结构进行分析,给出了电梯控制器的设计流程和状态机描述;第四章用Quartus软件对程序进行了仿真,并对仿真结果进行了说明和分析,并进行总结。关键字:电梯控制器,EDA,VHDL状态机AbstractElevator is the symbol of modern vertical transport of material is machine - the complexity of transport equipment, electrical integration. The elevator is the core of elevator controller. For the elevator control, the traditional approach is to use relay - contactor control system to control, as technology continues to develop, using hardware description language VHL to complete the elevator controller design, is a sign of intelligent design. This paper EDA Design features of a top-down elevator control system working principle of the elevator control system block diagram and describe the use of VHDL, the whole system, and finally with Quartus simulation software to analyze the simulation results , is summarized. This structure is divided into four parts: The first chapter describes the elevator control system development and trends, explain the topic of the research background, and to complete the work have been described; Chapter II describes the main features of EDA technologies, and VHDL language features and structure are described, with an emphasis on the meaning of the state machine; The third chapter describes VHDL language as a tool for analyzing the structure of four elevators, the elevator controller is given the design process and the state machine description; Chapter IV with Quartus software process simulation, and simulation results are described and analyzed and summarized. Keywords: elevator controller, EDA, VHDL State Machine 目 录1 绪论11.1电梯智能化的特点11.2国内外研究现状与发展趋势21.3论文的主要工作及结构32 EDA技术及VHDL语言43四层电梯控制器的VHDL设计93.1 PLC电梯控制缺点 3.2 四层电梯控制器具体设计93.3电梯控制器流程103.4状态机及其VHDL设计114四层电梯控制器的调试及仿真165 结束语18致谢18参考文献19附录19附录119附录220 1 绪论 1.1电梯智能化的特点当今时代随着高层建筑的日益增多和建筑设计的档次的提高,使得人们对电梯的要求也越来越高。目前已不仅限于要求电梯搭乘快速、舒适,制造坚固,装潢考究,人们对电梯的安全可靠性及多功能性正提出越来越高的要求,为此电梯正朝着控制智能化的方向发展。我国很多新近安装的电梯已经采用了世界上最新的电梯技术,电梯运行效率和质量都很高;但同时还存在着大量的“老旧”电梯,说它们老旧其实它们运行时间并不是很长,只是没能采用最新的电梯先进技术,所以运行效率和质最相比之下不高。电梯智能化改造针对的就是那些运行时间不是太长,而电梯的运行效率和服务质量已经不能满足用户的要求的电梯。特别是当一栋大厦的原有系统或是因为当初设计或是因为大厦功能的改变使得电梯系统的运力不足,不能够满足大厦的上下运输需要时,这时要么采取添加或更换电梯,要么只能采取通过提高电梯群的运行效率来提高电梯的运力。通过对电梯系统的智能化改造,付出少量的代价使得原有电梯系统的性能有一个大的提升。电梯的智能化改造还有一个关键问题是如何与电梯原来的控制系统连接,才能实现对所需电梯的信号的采集和实现对电梯的控制;还要考虑到一栋大厦如果安装有多部不同品牌或型号的电梯的情况。1、 何为电梯的智能化将人工智能应用到电梯控制领域是从上世纪80年代开始的,那时人工智能蓬勃发展,专家系统、神经网络、模糊控制等许多最新的人工智能成果都被应用到电梯群控的派梯算法上,但这些具有智能化派梯策略的电梯在中国的使用却很晚,使用量并不是很大。这是由多种原因造成的。智能化电梯群控系统可以大大提高电梯群的派梯效率,减少乘客的候梯时间,降低电梯的能耗。 2、电梯智能化改造的实现对电梯的原有机械电梯部分基本不作改动,包括提升部分、厅门控制部分等等,只是在原有电梯控制系统之上增加一层接口层,在接口层实现对电梯各种信号的采集和通过电梯的原有外部输入接口实现对电梯控制信号的输入,在接口层之上实现电梯群的智能化群控。(1) 接口层的实现 电梯智能化改造的实现中与原有电梯的接口部分很重要。与原有系统的接口包括两个部分,一是电梯的状态的实时采集,二是控制信号的回送。始终强调电梯的改造最重要的是不可以降低原有系统的安全性。如果原有电梯控制系统仍能满足需要,应尽量减少对原有电梯控制系统的改变,同时又要能够及时得到电梯的状态变化,并实现对电梯的调度。 接口层的实现采用分布式控制技术,在每台电梯的每一楼层的外招板上加装一块外招接口板,在内招板上加装一块内招接口板,在电梯控制柜中加装一块梯态接口板,这些接口板上都有一个独立的CPU控制着各自的信号采集、发送、接收、转发,它们通过一条公用总线与信号采集主机相连,信号采集主机负责电梯所有信号的收集和转发,负责将收集到的电梯信号送达上层的群控主机和实时监控主机,并转发群控主机和监控主机发出的控制信号。 通过各楼层加装的外招接口板采集所有外招信号,电梯内加装的内招接口板采集电梯的内招信号,电梯的控制柜中加装的电梯状态接口板采集电梯的目前状态:如口前楼层、运行方向、电梯门状态、电梯停止等信号,通过串行总线送往群控主机,群控主机根据采集到的信号作派梯选泽,将派梯信号回送到外招接口板,由外招接口板将信号发送给电梯的外招板,完成派梯。在接口板与电梯原电气装置连接时采用光电耦合结构,以隔绝相互之间的电磁干扰。电梯外招接口板的设计非常关键,它既要保证乘客的按键召唤信号和电梯的响应信号能够被及时、准确地采集并送到信号采集主机,还要能够及时地将群控主机发山的派梯信号转发给外招板,并且还要保证在群控主机或与之通信的线路有故障时也能够完成乘客的请求,而不会山现乘客的请求不能被满足的情况,同时也适合不同品牌的电梯。系统总线采用双向RS485总线。这既可以保证长距离大量数据传送,又由于这种接口用途广泛,成本也较为合理。RS485采用差分传输方式,较低的信号放大就可以做到较高的抗干扰能力,损耗也低。就电磁兼容性(EMV)而言,这对可靠的无故障传输很重要,而且使得现场配线减少,降低安装复杂性。(2)群控策略的选择 近20年来,人们对电梯的智能群控策略有很多研究,提出了各种智能群控算法,它们实现的复杂性和性能也各不相同。一种是采用专家系统,即收集专家在电梯控制领域的各种知识,也就是说对在电梯的各种不同情况下专家们有可能采取的策略进行汇总、分类,组织成规则库,然后根据采集到的电梯的数据以规则库里的推理规则确定电梯的派梯方案。另一种是采用模糊推理规则,即综合考虑评价梯群性能的各种标准,如平均等待时间、长时间等待率、电梯能耗等等,确定一个隶属度函数,将电梯的各种输入参数模糊化后输入系统,通过模糊判断规则根据隶属度函数来确定一个最佳派梯。还有一种是采用人工神经网络来实时熟悉大楼的交通模式,根据电梯前一小段时间的客流状况来推理出电梯未来的客流状况,并根据电梯处于不同的客流模式如上行高峰、下行高峰、层间客流、空闲交通等等来采取不同的派梯策略。另外,就是通过统计学原理根据电梯前一小段时间的客流状况来推测出电梯在未来一小段时间里可能产生的召唤信号,然后根据推测的结果来精确计算电梯到达某一楼层响应召唤的等待时间,然后选择使得所有召唤信号的等待时间最短的派梯策略。这不是一种智能群控算法。1.2国内外研究现状及发展趋势3.四层电梯控制器的VHDL设计电梯的微机化控制主要有以下几种形式:1 PLC控制;2 单板机控制;3 单片机控制;4 单微机控制;5 多微机控制;6 人工智能控制。随着EDA技术的快速发展,CPLD/FPGA已广泛应用于电子设计与控制的各个方面。但是本设计屏弃以前老式的采用PLC设计电梯控制器,而是使用一片来实现对电梯的控制的。3.1 PLC电梯的缺点当今电梯市场已经有多种电梯控制器的设计方案。但大多数仍然是采用PLC实现电梯的升降控制,但是采用 PLC实现电梯控制器的设计存在大量的缺点缺点。如果采用硬件描述语言来完成电梯控制器的设计,就可以克服PLC电梯的大部分缺点。目前,在我国国产电梯大部分为继电器及PLC控制方式,继电器控制系统性能不稳定、故障率高,大大降低了电梯的舒适性、可靠性和安全性,经常造成停梯,给乘用人员的生活和工作带来了很多不便,因而传统的电梯控制系统的更新势在必行。当前电梯发展的智能化发方向是IC卡智能电梯,但最终的发展是声控智能电梯,IC卡智能电梯PLC都需通过外加IC读卡芯片才能实现,PLC单独是不能实现的,那以后的声控智能电梯PLC就能不能单独实现,然而我们采用VHDL技术就可以实现电梯的智能化,节能,也可以提高电梯的舒适性,可靠性和安全性。本次设计尝试用硬件描述语言(VHDL)来实现电梯控制,可进行多层次的逻辑设计,也可进行仿真验证、时序分析等以保证设计的正确。在使用VHDL进行电梯控制器的设计,主要就是对电梯软件部分进行设计,使用VHDL中的逻辑关系建立电梯的升降模式,开门,关门达到动作,而外部的硬件设备基本上保持不变。但是使用VHDL硬件描述语言设计电梯控制器可以为以后电梯实现智能控制奠定基础。 3.2电梯控制器的流程外部按键设备 请求信号寄存器状态积存器内部软件执行机构外部硬件执行机构总流程图初始化N有无平层信号等待请求 Y 显示 清平层信号是否到达目的层上/下运行 N上下行判断 Y停车消该层信号停车有无同向选层信号消同向选层信号扫描电梯位置目的层到否 NY 下行控制上行控制 返回 电梯运行流程图关门等待2秒清该层对应指示灯返回到站等待2秒开门停车控制程序 N Y N Y停车门控流程图 电梯控制器可以通过多种方法进行设计,其中采用状态机来实现,思路比较清晰。可以将电梯等待的每秒钟以及开门,关门都看成一个独立的状态。由于电梯又是每秒上升或下降一层,所以就可以通过一个统一的1秒为周期的时钟来触发状态机。3.3 状态机及其VHDL设计有限状态机(Finite State Machine,简称FSM)是一类很重要的时序电路,是许多数字系统的核心部件,也是实时系统设计中的一种数学模型,是一种重要的、易于建立的、应用比较广泛的、以描述控制特性为主的建模方法,它可以应用于从系统分析到设计的所有阶段。有限状态机的优点在于简单易用,状态间的关系清晰直观。建立有限状态机主要有两种方法:“状态转移图”和“状态转移表”。标准状态机通常可分为Moore和Mealy两种类型。1、 状态机的基本结构和功能状态机的基本结构如图1所示。除了输人信号、输出信号外,状态机还包含一组寄存器记忆状态机的内部状态。状态机寄存器的下一个状态及输出,不仅同输入信号有关,而且还与寄存器的当前状态有关,状态机可以认为是组合逻辑和寄存器逻辑的特殊组合。它包括两个主要部分:即组合逻辑部分和寄存器。组合逻辑部分又可分为状态译码器和输出译码器,状态译码器确定状态机的下一个状态,即确定状态机的激励方程,输出译码器确定状态机的输出,即确定状态机的输出方程。寄存器用于存储状态机的内部状态。状态译码器状态寄存器 输出译码器 组合逻辑图2.1状态机的基本结构状态机的基本操作有两种:1状态机的内部状态转换。状态机经历一系列状态,下一状态由状态译码器根据当前状态和输入条件决定。2产生输出信号序列。输出信号由输出译码器根据当前状态和输入条件确定。用输入信号决定下一状态也称为“转移”。除了转移之外,复杂的状态机还具有重复和历程功能。从一个状态转移到另一状态称为控制定序,而决定下一状态所需的逻辑称为转移函数。在产生输出的过程中,根据是否使用输入信号可以确定状态机的类型。两种典型的状态机是米立(Mealy)状态机和摩尔(Moore)状态机。摩尔状态机的输出只是当前状态的函数,而米立状态机的输出一般是当前状态和输入信号的函数。对于这两类状态机,控制定序都取决于当前状态和输入信号。大多数实用的状态机都是同步的时序电路,由时钟信号触发进行状态的转换。时钟信号同所有的边沿触发的状态寄存器和输出寄存器相连,使状态的改变发生在时钟的上升或下降沿。在数字系统中那些输出取决于过去的输入和当前的输入的部分都可以作为有限状态机。有限状态机的全部“历史”都反映在当前状态上。当给FSM一个新的输入时,它就会产生一个输出。输出由当前状态和输入共同决定,同时FSM也会转移到下一个新状态,也是随着FSM的当前状态和输入而定。FSM中,其内部状态存放在寄存器中,下一状态的值由状态译码器中的一个组合逻辑转移函数产生,状态机的输出由另一个组合逻辑输出函数产生。建立有限状态机主要有两种方法:状态转移图(状态图)和状态转移表(状态表)。它们是等价的,相互之间可以转换。状态转移图如图2所示,图中每个椭圆表示状态机的一个状态,而箭头表示状态之间的一个转换,引起转换的输入信号及当前输出表示在转换箭头上。输入/出输入/出输入/出输入/出输入/出输入/出状态A状态B状态C状态D状态E图2.2 状态转移图如果能够写出FSM的状态转移图,就可以使用VHDL的状态机语句对它进行描述。状态转移表形式如表4所示。表中的行列出了全部可能的输入信号组合和内部状态以及相应的次状态和输出,因此状态表规定了状态机的转换函数和输出函数。然而,状态表不适合具有大量输入的系统,因为随着输入的增加其状态数和系统的复杂性会显著增加。 状态转移表现态输入次态输出S0-SnI0-ImS0-SnQ0-QP表2.1状态转移图、状态转移表这两种有限状态机的建立方法是等价的,都描述了同一硬件结构,它们可以相互转换,但各有优缺点,分别适合于不同场合。2、一般状态机的VHDL设计(1) 状态机的一般组成用VHDL设计有限状态机方法有多种,但最一般和最常用的状态机设计通常包括说明部分,主控时序部分,主控组合部分和辅助进程部分。1 说明部分说明部分中使用TYPE语句定义新的数据类型,此数据类型为枚举型,其元素通常都用状态机的状态名来定义。状态变量定义为信号,便于信息传递,并将状态变量的数据类型定义为含有既定状态元素的新定义的数据类型。说明部分一般放在结构体的ARCHITECTURE和BEGIN之间。2 主控时序进程是指负责状态机运转和在时钟驱动正负现状态机转换的进程。状态机随外部时钟信号以同步方式工作,当时钟的有效跳变到来时,时序进程将代表次态的信号next_state中的内容送入现态信号current_state中,而next_state中的内容完全由其他进程根据实际情况而定,此进程中往往也包括一些清零或置位的控制信号。3 主控组合进程根据外部输入的控制信号(包括来自外部的和状态机内容的非主控进程的信号)或(和)当前状态值确定下一状态next_state的取值内容,以及对外或对内部其他进程输出控制信号的内容。4 辅助进程用于配合状态机工作的组合、时序进程或配合状态机工作的其他时序进程。在一般状态机的设计过程中,为了能获得可综合的,高效的VHDL状态机描述,建议使用枚举类数据类型来定义状态机的状态,并使用多进程方式来描述状态机的内部逻辑。例如可使用两个进程来描述,个进程描述时序逻辑,包括状态寄存器的工作和寄存器状态的输出,另一个进程描述组合逻辑,包括进程间状态值的传递逻辑以及状态转换值的输出。必要时还可以引入第三个进程完成其它的逻辑功能。下例描述的状态机由两个主控进程构成,其中进程REG为主控时序进程,COM为主控组合进程。例:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY s_machine IS PORT (clk, reset: IN STD_LOGIC; State_inputs: IN STD_LOGIC_VECTOR(0 TO 1); comb_outputs: OUT STD_LOGIC_VECTOR(0 TO 1);END ENTITY s_machine;ARCHITECTURE behv OF s_machine ISTYPE states IS (st0,st1,st2,st3); -定义states为枚举型数据类型SIGNAL current_state, next_state : states;BEGINREG: PROCESS (reset, clk) -时序逻辑进程 BEGIN IF reset='1' THEN -异步复位 Current_state<=st0; ELSIF clk='1' AND clk'EVENT THEN current_state<=next_state; -当检测到时钟上升沿时转换至下一状态 END IF; END PROCESS; -由信号current_state将当前状态值带出此进程,进入进程COMCOM: PROCESS(current_state, state_Inputs) -组合逻辑进程BEGIN CASE current_state IS - 确定当前状态的状态值 WHEN st0 =>comb_outputs <= "00" -初始状态译码输出"00" IF state_inputs="00" THEN -根据外部的状态控制输入"00" next_state<=st0; -在下一时钟后,进程REG的状态将维持为st0 ELSE next_state<=st1; -否则,在下一时钟后,进程REG的状态将为st1 END IF; WHEN st1=> comb_outputs<="01" -对应状态st1的译码输出"01" IF state_inputs="00" THEN -根据外部的状态控制输人"00" next_state<=st1; -在下一时钟后,进程REG的状态将维持为st1 ELSE next_state<=st2; - 否则,在下一时钟后,进程REG的状态将为st2 END IF; WHEN st2=> comb_outputs<="10" -以下依次类推 IF state_inputs="11" THEN next_state<=st2; ELSE next_state<=st3; END IF; WHEN st3=>comb_outputs<="11" IF state_inputs="11" THEN next_state<=st3; ELSE next_state<=st0; END IF; END CASE;END PROCESS;END ARCHITECTURE behv;图4为上述状态机的工作时序图。reset为异步复位信号,低电平有效,而clk为上升沿有效。如在第3个脉冲上升沿到来时current_state=“st0”,state_inputs“01”,输出comb_outputs=“01”。第4个脉冲上升沿到来时current_state=“st1”,state_inputs“00”,输出comb_outputs=“01”。综合后的RTL图如图5所示。图2.3 状态机的工作时序图图2.4 状态机的RTL图一般来说,程序的不同进程间是并行运行的,但由于敏感信号设置的不同和电路的延迟,在时序上进程间的动作是有先后的。如对上例中的状态转换行为来说,有进程REG和COM,它们的敏感信号表分别为(reset,clk)和(current_state,state_inputs),在clk上升沿到来时,进程REG将首先运行,完成状态转换的赋值操作。如果外部控制信号state_inputs不变,只有当来自进程REG的信号current_state改变时,进程COM才开始动作,并将根据current_state和state_inputs的值来决定下一有效时钟沿到来后,进程REG的状态转换方向。这个状态机的两位组合逻辑输出comb_outputs是对当前状态的译码。我们可以通过这个输出值来了解状态机内部的运行情况,同时还可以利用外部控制信号state_inputs任意改变状态机的状态变化模式。注意:在上例中,有两个信号起到了互反馈的作用,完成了两个进程间的信息传递的功能,这两个信号分别是current_state进程REG>进程COM)和next_state(进程COM>进程REG)。在VHDL中可以有两种方式来创建反馈机制:即使用信号的方式和使用变量的方式。通常倾向于使用信号的方式(如例3)。一般而言,在进程中使用变量传递数据,然后使用信号将数据带出进程。在设计过程中,如果希望输出的信号具有寄存器锁存功能,则需要为此输出写第3个进程,并把clk和reset信号放入敏感信号表中。但必须注意避免由于寄存器的引入而创建了不必要的异步反馈路径。根据VHDL综合器的规则,对于所有可能的输入条件,如果进程中的输出信号没有被明确的赋值时,此信号将自动被指定,即在未列出的条件下保持原值,这就意味着引入了寄存器。因此,我们在程序的综合过程中,应密切注意VHDL综合器给出的警告信息,并根据警告信息对程序作必要的修改。一般来说,利用状态机进行设计有如下几个步骤:(1) 分析设计要求,列出状态机的全部可能状态,并对每一个状态进行编码。(2) 根据状态转移关系和输出函数画出状态转移图。(3) 由状态转移图,用VHDL语句对状态机描述。(2) 状态机的编码方案在状态机的编码方案中,有两种重要的编码方法:二进制编码和一位热码(OneHot)编码。在二进制编码的状态机中,状态位(B)与状态(S)的数目之间的关系为B=log2S,如两位状态位就有00,01,10,11四个不同状态,它们在不同的控制信号下可以进行状态转换,但如果各触发器又没有准确地同时改变其输出值,那么在状态01变到10时则会出现暂时的11或00状态输出,这类险象可能使整个系统造成不可预测的结果。这时,采用格雷码二进制编码是特别有益,在该编码方案中,每次仅一个状态位的值发生变化。一位热码编码就是用n个触发器来实现n个状态的编码方式,状态机中的每一个状态都由其中一个触发器的状态来表示。如4个状态的状态机需4个触发器,同一时间仅一个状态位处于逻辑1电平,四个状态分别为:0001、0010、0100、1000。在实际应用中,根据状态机的复杂程度、所使用的器件系列和从非法状态退出所需的条件来选择最适合的编码方案,使之能确保高效的性能和资源的利用。对复杂的状态机,二进制编码需用的触发器的数目比一位热码编码的少。如100个状态的状态机按二进制编码仅用7个触发器就可以实现,而一位热码编码则要求100个触发器。另一方面,虽然一位热码编码要求用较多的触发器,但逻辑上通常相对简单些。在二进制编码的状态机中,控制从一个状态转换到另一个状态的逻辑与所有7个状态位以及状态机的输入均有关。这类逻辑通常要求到状态位输入的函数是多输入变量的。然而,在一热恋位编码的状态机中,到状态位的输入常常是其它状态位的简单函数。站在器件结构的角度,不同结构支持其确定的编码类型。MAX+plus II编译程序对所采用的器件系列自动地选择最合适的编码方法(除非在设计文件中规定了具体的编码方案)。例如,FLEX 7000器件系列是寄存器增强型(Register-intensive),以这类器件为对象的状态机最好选用一位热码编码方案来实现。由于一位热码编码的状态机降低了送到每一个状态位的逻辑电路的复杂程度,因而可提高用FLEX 7000器件实现的状态机的性能。MAX 5000和MAX 7000器件系列最适合二进制状态机编码方案。这两类器件都能够利用共享和并联的扩展乘积项有效地实现复杂的逻辑函数。因此,在这两类器件小,可以容纳复杂的组合逻辑函数而不会浪费资源或损失性能。2.4 四层电梯控制器具体设计1、 四层电梯控制器的功能电梯控制器是控制电梯按照顾客要求自动上下的装置。四层电梯控制器的功能如下: (1) 每层电梯入口处设有上下请求开关,电梯内设有顾客到达层次的停站请求开关。 (2)设有电梯入口处位置指示装置及电梯运行模式(上升或下降)指示装置。(3)电梯每秒上升(下降)一层楼。(4)电梯到达有停站请求的楼层,经过1秒电梯门打开,开门指示灯亮,开门4秒后,电梯门关闭(开门指示灯灭),电梯继续进行,直至执行完最后一个请求信号停留在当前层。(5)能记忆电梯内外所有请求,并按照电梯运行规则按顺序响应,每个请求信号保留至执行后消除。(6)电梯运行规则当电梯处于上升模式时,只响应比电梯所在位置的上楼请求信号,由下而上逐个执行,直到最后一个上楼请求执行完毕;如果高层有下楼请求,则直接升到由下楼请求的最高楼层,然后进入下降模式。当电梯处于下降模式则与上升模式相反。(7)电梯初始状态为一层开门状态。2、 四层电梯控制器的设计思路采用状态机来实现电梯控制器,思路比较清晰,通过一个统一的1秒为周期的时钟来触发状态机。根据电梯的实际工作情况,可以把状态机设置10个状态,分别是“电梯停留在1层”、“开门”、“关门”、“开门等待第1秒”、“开门等待第二秒”、“开门等待第三秒”、“开门等待第四秒”、“上升”、“下降”和“停止”状态。各个状态之间的转换条件可由上面的设计要求所决定。3、四层电梯控制器的综合设计(1)四层电梯控制器的实体实际首先考虑输入端口,一个异步复位端口reset,用于在系统不正常时回到初始状态;在电梯外部,必须有升降请求端口,一层最低,不需要下降请求,四层是最高层。不需要有上升请求,二层与三层则上升,下降请求端口都有;在电梯内部,应该设有各层停留的请求端口;一个电梯时钟输入端口,该输入时钟以1秒为周期,用于驱动电梯的升降及开门关门等动作;另有一个是按键时钟输入端口,时钟频率比电梯时钟高。其次是输出端口,有升降请求信号,就得有一个输出端口来指示请求是否被响应,有请求信号以后,该输出端口输出逻辑1,被响应以后则恢复逻辑0;同样,在电梯内部也应该有这样的输出端口来显示各层停留是否被响应;在电梯外部,需要一个端口来指示电梯现在所处的位置;电梯开门关门的状态也能用一个输出端口来指示;为了观察电梯的运行是否正确,可以设置一个输出端口来指示电梯的升降状态。在端口的定义中定义position时选用的是整型数据类型(INTEGRER),主要是为了在电梯运行是便于观察。整型直接可以看出电梯运行是楼层的变化,在第一层就显示1,第二层就显示2,很直观。当然,position的定义也可以用标准逻辑矢量(STD_LOGIC_VECTOR)来定义,但是如果选用标准逻辑矢量,在电梯运行时就不是那么好观察。这里是四层的电梯控制器,那么只需定义一个两位的就足够显示了。”00”的时候对应电梯的第一层,”11”的时候就对应第四层。但是”11”的十进制值为3,没有和层次显示的第四层想对应起,所以就放弃选用标准逻辑矢量来定义position,而选用的整型。(2) 四层电梯控制器的结构体设计首先说明一下状态。状态机设置了10个状态,分别是电梯停留在1层(stopon1)、开门(dooropen)、关门(doorclose)、开门等待第1秒(doorwait1)、开门等待第2秒(doorwait2)、开门等待第3秒(doorwait3)、开门等待第4秒(doorwait4)、上升(up)、下降(down)和停止(stop)。在实体说明中定义完端口之后,在结构体个之间需要有如下的定义语句,来定义状态机。TYPE lift_state IS(stopon1,dooropen,doorclose,doorwait1,doorwait2,doorwait3,doorwait4,up,down,stop) -电梯的10个状态SIGNAL mylift:lift_state; -定义为lift类型的信号mylift在结构体中,设计了两个进程互相配合,一个状态机进程作为主要进程,另外一个是信号灯控制进程作为辅助进程。状态机进程中的很多判断条件是以信号灯进程产生的信号灯信号为依据的,而信号灯进程中信号灯的熄灭又是有状态机进程中传出clearup和cleardn信号来控制。在状态机进程中,在电梯上升状态中,通过对信号灯的判断,决定下一个状态是继续上升还是停止;在电梯下降状态中,也是通过对信号灯的判断,决定下一个状态是继续下降还是是停止;在电梯停止状态中,判断是最复杂的,通过对信号的判断,决定电梯是上升、下降还是停止。在信号灯控制进程中,由于使用了专门的频率较高的按键时钟,所以使得按键的灵敏度增大,但是时钟频率不能过高,否则容易使按键过于灵敏。按键后产生的点亮的信号灯(逻辑值为1)用于作为状态机进程中的判断条件,而clearup和cleardn信号为逻辑1使得相应的信号灯熄灭。(3) 四层电梯控制器设计输入输出端口定

    注意事项

    本文(基于VHDL的电梯控制系统设计论文.doc)为本站会员(文库蛋蛋多)主动上传,三一办公仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三一办公(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    备案号:宁ICP备20000045号-2

    经营许可证:宁B2-20210002

    宁公网安备 64010402000987号

    三一办公
    收起
    展开