欢迎来到三一办公! | 帮助中心 三一办公31ppt.com(应用文档模板下载平台)
三一办公
全部分类
  • 办公文档>
  • PPT模板>
  • 建筑/施工/环境>
  • 毕业设计>
  • 工程图纸>
  • 教育教学>
  • 素材源码>
  • 生活休闲>
  • 临时分类>
  • ImageVerifierCode 换一换
    首页 三一办公 > 资源分类 > DOC文档下载  

    基于CPLD的频率测量计毕业设计.doc

    • 资源ID:3883470       资源大小:4.20MB        全文页数:38页
    • 资源格式: DOC        下载积分:8金币
    快捷下载 游客一键下载
    会员登录下载
    三方登录下载: 微信开放平台登录 QQ登录  
    下载资源需要8金币
    邮箱/手机:
    温馨提示:
    用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP免费专享
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    基于CPLD的频率测量计毕业设计.doc

    毕业设计(论文)题目 基于CPLD的频率测量计 系 别 电气工程系 专 业 电气自动化技术 班 级 电自10-2班 姓 名 学 号 201002101238 指导教师(职称) 日 期 2013年01月01日 毕业设计(论文)任务书电气工程 系 2013 届 电气自动化技术 专业 毕业设计(论文)题目基于CPLD的频率测量计校内(外)指导教师职 称工作单位及部门联系方式秦雯副教授电气工程系18919080646一、题目说明(目的和意义):数字频率计是工程上常用的一种仪表,用于对信号源输出的频率、周期等参量进行测量。本课题所设计的数字频率计可对方波、正弦波的参量进行测量,要求测量频率范围较大,测试误差较高。通过对“基于CPLD的数字测量计”这一课题的设计,是学生进一步学习和掌握电子产品的设计、微机控制技术等综合知识的应用,进行以可编程逻辑器件为控制核心的检测系统设计,培养理论联系实际的能力,培养解决实际问题的能力。二、设计(论文)要求(工作量、内容):1.设计任务以可编程逻辑器件为控制核心,设计一个数字显示的简易频率计。2.技术指标测量范围:0.5-5V测量频率:1Hz-1MHz 测量误差:0.1%3.设计内容(1)以可编程逻辑器件为控制核心;(2)设计系统主电路;(3)设计检测电路,测量信号类型为方波、正弦波;(4)脉冲宽度测量;(5)设计一个6为系统显示电路,能循环显示测量值。(6)设计软件流程框图并编写主程序清单;4.设计成果(1)毕业设计报告字数1.5至2万字;(2)画1张1#的系统硬件电路图;(3)根据检测参数要求,设计检测电路并说明设计原理;(4)显示电路有限流电阻的定量分析计算 三、进度表日 期内 容20122013学年秋第十五周第十六周第十七周第十八周第十九周第二十周20132014学年春第一周第二周查阅、消化资料。总体方案论证、方案设计。硬件线路设计。硬件电路分析、参数计算。撰写论文、准备答辩资料。撰写论文答辩答辩完成日期 2013 年 1 月 10 日答辩日期 20 年 月 日 月 日 四、主要参考文献、资料、设备和实习地点及翻译工作量: 1. 胡汉才.单片机原理及接口技术.北京:清华大学出版社,20042. 孙涵芳 .MCS-51/96系列单片机原理及应用.北京:北京航空航天出版社,20053. 黄正瑾.电子设计竞赛赛题解析.东南大学出版社,20034. 竞赛组委会.第五届全国大学生电子设计竞赛获奖作品选编.北京:北京理工大学出版社教研室意见:同意教研室主任(签字):王淑红 2012 年 12 月 29 日 系审核意见:同意系主任(签字):周征2012 年 11 月1 日注:本任务书要求一式两份,一份打印稿交教研室,一份打印稿交学生,电子稿交系办。摘 要本文提出了一种基于CPLD的数字频率计的设计方法。复杂可编程逻辑器件(CPLD)具有集成度高、运算速度快、开发周期短等特点,它的出现,改变了数字电路的设计方法,增强了设计的灵活性。该设计电路简洁,软件潜力得到充分挖掘,低频段测量精度高,有效防止了干扰的侵入。从实验结果上看,采用CPLD设计的电子电路,可以弥补传统硬件电子电路设计中的不足。该频率计利用等精度的设计方法,克服了基于传统测频原理的频率计的测量精度随被测信号频率的下降而降低的缺点。等精度的测量方法不但具有较高的测量精度,而且在整个频率区域保持恒定的测试精度。该频率计利用CPLD来实现频率、周期、脉宽的测量计,完成整个测量电路的测试控制、数据处理和显示输出。并详细论述了硬件电路的组成和软件控制流程。其中硬件电路包括键控制模块、显示模块、输入信号整形模块以及CPLD主控模块。CPLD采用VHDL语言编写,根据控制信号不同进行计数,并且输出计数值到其接口中。本系统测量对象为方波、三角波、正弦波等等,测量范围为1Hz-1MHz输入信号经过放大整形后接入CPLD电路。关键词:数字频率计;CPLD;等精度Abstract This paper produces a CPLD-based digital frequency meters design method. complex programmable logic device (CPLD) has the of characteristics of highly integrated, high computing speed, shorter development cycle and so on, the appearance of it changes the methods of digital circuit design, and enhances design flexibility. this paper produces a CPLD-based digital frequency meters design method. This designs circuit is simple, softwares potential is fully tapped and low-frequency measurements have high accuracy, effectively preventing the intrusion of the interference. The experimental results from the point of view, the use of CPLD design of electronic circuits can make up for the traditional hardware designing electronic. Circuits deficiencies. The use of such precision frequency meter design ways to overcome the traditional frequency measurement based on the principle of the measurement precision frequency meter with a decline in the measured signal frequency decreases the shortcomings. And other precision measurement method not only has high accuracy, but in the entire frequency region to maintain a constant precision. The frequency meter using CPLD to implement the frequency, period, pulse width and duty cycle measurement count.CPLD is written in VHDL language and counts according to different control signals translate from MCU part, finally, CPLD part will output the count result to the MCU part. The measured objects of the system are square wave, tri-angel wave, sine wave, etc., input signal is shaped after amplifying measurement ranges from 1Hz to 1MHz.Keywords: Equal Precision; Frequency Meter; CPLD目 录1 绪 论11.1 本设计的目的和意义11.2 频率测量计国内外现状及发展趋势21.3 本设计要求31.4 系统设计指标32 方案论证42.1频率计结构框图42.2测量方法论证42.3 显示部分的方案提出及比较62.4 键盘部分的方案提出及比较72.5 控制核心的方案提出及比较83 硬件电路设计123.1 频率计的系统级总体结构框图123.2 CPLD的芯片选择143.3 测量电路的设计173.3.1 频率的测量183.3.2 脉冲宽度的测量193.4 键盘部分的设计203.5 显示部分的设计203.6 电源部分的设计234软件电路的设计244.1 主程序流程图244.2 VHDL程序设计265结论29致 谢30参考文献311 绪 论1.1 本设计的目的和意义 数字频率计是直接用十进制数字来显示被测信号频率的一种测量装置。它不仅可以测量正弦波、方波、三角波、尖脉冲信号和其他具有周期特性的信号的频率,而且还可以测量它们的周期。经过改装,可以测量脉冲宽度,做成数字式脉宽测量仪;可以测量电容做成数字式电容测量仪;在电路中增加传感器,还可以做成数字脉搏仪、计价器等。因此数字频率计在测量物理量方面应用广泛。随着数字电路的飞速发展,数字频率计的发展也很快。通常能对频率和时间两种以上的功能进行数字化测量的仪器,称为数字式频率计(通用计数器或数字式技术器)。 在传统的控制系统中,通常将单片机作为控制核心并辅以相应的元器件构成一个整体。但这种方法硬件连线复杂、可靠性差,且在实际应用中往往需要外加扩展芯片,这无疑会增大控制系统的体积,还会增加引入干扰的可能性。对一些体积小的控制系统,要求以尽可能小的器件体积实现尽可能复杂的控制功能,直接应用单片机及其扩展芯片就难以达到所期望的效果。 目前许多高精度的数字频率计都采用单片机加上外部的高速计数器来实现。然而单片机的时钟频率不高导致测速比较慢,并且在这种设计中,由于PCB板的集成度不高,导致PCB板面积大,信号走线长,因此难以提高计数器的工作频率。此外,PCB板的集成度不高还会使得高频信号容易受到外界的干扰,从而大大降低了测量精度。复杂可编程逻辑器件(CPLD)具有集成度高、运算速度快、开发周期短等特点,基于CPLD的数字频率计的设计电路简洁,软件潜力得到充分挖掘,低频段测量精度高,有效防止了干扰的侵入。其独到之处体现在用软件取代了硬件。基于CPLD设计的频率计,在传统意义设计上实现了一些突破。1、用单元电路或单片机技术设计的频率计电路复杂、稳定性差。采用CPLD就能够克服这一点,它可以把具有控制功能的各个模块程序下载在一块芯片上。这一块芯片就能代替原来的许许多多的单元电路或单片机的控制芯片和大量的外围电路。大大的简化了电路结构,提高了电路稳定性。2、以往的频率计测量范围都是有限的,为测量不同频率的信号都要专门的设计某一部分电路,这样很麻烦。而基于CPLD设计的频率计可以通过修改VHDL语言程序来达到改变测量范围的目的。频率测量是电子测量的重要领域,在我们的生活中都需要直接或者间接通过测量频率来获取我们想要得到信息,比如我们日常生活中最平常的时钟就是一个测量频率的设备,通过测量频率我们来计算时间的长短;在科研中经常使用的GPS设备也是通过测量频率的方式来得到GPS点与GPS卫星的距离,从而来测算GPS的坐标。频率的测量在我们的生活中无处不在,无时不刻都在接触。以频率计为基础的相关商品,有微波频率计,高精度通用计数器,计时计频器,高性能频率计时器,数字频率计数器,通用计数器等等。在测试通讯、微波器件或产品时,常常需要测量频率,通常这些都 是较复杂的信号,如含有复杂频率成分、调制的或含有未知频率分量的、频率固定的或变化的、纯净的或叠加有干扰的等等。1.2 频率测量计国内外现状及发展趋势目前许多高精度的数字频率计都采用单片机加上外部的高速计数器来实现。市场上的频率计厂家可分为三类:中国大陆厂家,中国台湾厂家,欧美国家,其中,欧美频率计厂家所占有的市场份额最大。欧美频率计厂家主要有:Pendulum Instruments和Agilent科技。Pendulum Instruments公司是一家瑞典公司,总部位于瑞典首都斯德哥尔摩。Pendulum公司源于Philips公司的时间、频率部门,在时间频率测量领域具有40多年的研发经历。Pendulum Instruments公司常规频率计型号主要有CNT-91、CNT-90、CNT-81、CNT-85。同时,Pendulum Instruments公司还推出铷钟时基频率计CNT-91R、CNT-85R。Agilent科技公司是一家美国公司,总部位于美国的加利福尼亚。Agilent科技公司成立于1939年,在电子测量领域也有着70多年的研发生产经历。Agilent科技公司的常规频率计信号主要有:53181A、53131A、53132A。同时,Agilent科技公司还推出微波频率计:53150A,53151A,53152A(频率测量范围最高可达46G)。 随着科学技术的发展,用户对电子计数器也提出了新的要求。对于低档产品要求使用操作方便,量程(足够)宽,可靠性高,价格低。而对于中高档产品, 则要求有高分辨率,高精度,高稳定度,高测量速率;除通常通用计数器所具有的功能外,还要有数据处理功能,统计分析功能,时域分析功能等等,或者包含电压测量等其他功能。这些要求有的已经实现或者部分实现,但要真正完美的实现这些目标,对于生产厂家来说,还有许多工作要做,而不是表面看来似乎发展到头了。在传统的控制系统中,通常将单片机作为控制核心并辅以相应的元器件构成一个整体。但这种方法硬件连线复杂、可靠性差,且在实际应用中往往需要外加扩展芯片,这无疑会增大控制系统的体积,还会增加引入干扰的可能性。对一些体积小的控制系统,要求以尽可能小的器件体积实现尽可能复杂的控制功能,直接应用单片机及其扩展芯片就难以达到所期望的效果。然用CPLD就能够克服这一点,它可以把具有控制功能的各个模块程序下载在一块芯片上。这一块芯片就能代替原来的许许多多的单元电路或单片机的控制芯片和大量的外围电路。大大的简化了电路结构,提高了电路稳定性。CPLD是一种新兴的高密度大规模可编程逻辑器件,它具有门阵列的高密度和PLD器件的灵活性和易用性,目前已成为一类主要的可编程器件。可编程器件的最大特点是可通过软件编程对器件的结构和工作方式进行重构,能随时进行设计调整而满足产品升级。由于CPLD具有连续连接结构,易于预测延时,使电路仿真会更加准确,且编程方便,速度快,集成度高,价格低,从而系统研制周期大大缩短,产品性能价格比提高。复杂可编程逻辑器件(CPLD)具有集成度高、运算速度快、开发周期短等特点,基于CPLD的数字频率计的设计电路简洁,软件潜力得到充分挖掘,低频段测量精度高,有效防止了干扰的侵入。其独到之处体现在用软件取代了硬件。1.3 本设计要求本设计要求完整地设计出基于CPLD的等精度频率计,并成功调试。1.4 系统设计指标 基于传统测频原理的频率计的测量精度将随被测信号频率的改变而改变,在实用中有较大的局限性,而等精度频率计不但具有较高的测量精度,而且在整个测频区域内保持恒定的测试精度。本系统设计的基本指标如下:1、 对于频率测试功能,测频范围为1HZ-1MHZ。2、 对于电压测试功能,电压范围为0.5-5V。 3、 对于测试误差,要求0.1%2 方案论证2.1频率计结构框图显示键盘测量方法控制核心基准信号被测信号号图2-1频率计结构框图如图2-1所示,由一片CPLD完成各种测试功能及对整个测试系统进行控制,对标准频率和被测信号进行计数。CPLD对整个测试系统进行控制,包括对键盘信号的读入与处理;对CPLD测量过程的控制、测量结果数据的处理;最后将测量结果送LED显示输出。被测信号整形电路主要对被测信号进行限幅、放大、再经施密特触发器整形后送入CPLD。所有信号包括基准频率信号、被测信号以及自校输入信号均送入CPLD芯片中,经运算处理后,以十进制的形式送到8位数码管显示电路显示。键盘控制命令通过一片74LS165并入串出移位寄存器读入CPLD,实现开始功能、预置闸门时间控制功能等。2.2测量方法论证 方案一:直接测量法众所周知,依据基本原理所实现的频率、周期以及脉冲宽度的数字化测量是一种直接测量法,由于该方法比较简单,若能满足本次课程设计任务的要求则应作为首选方案。考察中应分析该方法的测量精度是否能够满足任务书的要求,是否便于扩充以满足发挥部分提出的各项附加要求由于目前还处于顶层分析阶段,所以对测量精度的分析只需做一个概略的估计,既仅考虑±1量化误差的影响,而将系统频率基准或时间基准的误差暂时忽略不计,输入通道的误差也暂时忽略不计。由于无论采用直接测频或者直接测周期的方法均不能满足测试误差0.1的要求。具体说,对测频在低频端1Hz时,即使闸门时间取最大值10s,也只能计得10个数,但由于±1量化误差的存在,使这时的相对测试误差大到±10%。类似地,对于测周期在高频端1MHz的误差大到10%和100%。由上述分析可知,为满足测量精度的要求,不能简单地采用频率与周期的直接测量法,需要寻求别的测量方法。方案二:直接与间接测量相结合的方法该方法的出发点是避开±1量化误差影响较大的频段,是依据在不利条件下寻找有利因素的思路而产生的。由于±1量化误差对直接测频、测周期法所引入的相对测试误差的大小是随被测频率而变化的,且变化关系正好相反,因此可以找到一个中界频率fm,对低于fm的信号的频率不采用直接测频发,而改为测周期,并通过换算求频率。即对于高于fm的信号的频率仍然采用直接测频法;类似的,对高于fm的信号的频率不采用直接测周期法,而改为测频率,并通过换算求周期,对低于fm的信号的频率仍然采用直接测周期法。从而可使在被测量信号的整个频率范围内均满足的要求。显然,该方法要求在正式测量前先对信号频率预测量一下,然后将测得的值与进行比较,以决定正式测量时是采用直接法还是间接法。方案三:多周期同步等精度测量法图2.3 等精度测频波形图等精度测频是在直接测频基础上发展起来的,在目前的测频系统中得到了越来越广泛的应用。它在测频时,闸门时间不是固定的,而是被测信号的整数倍,即与被测信号保持同步,因此消除了对被测信号计数所产生的±1个数字误差,使测量精度大为提高。 测量时,首先预置闸门开启信号,此时计数器并不计数,等被测信号上升沿到来时,触发器输出计数允许信号(实际闸门信号),计数器l对标准信号计数,计数器2对被测信号计数,预置闸门关闭时,计数器并不立即结束计数,而是等到被测信号上升沿到来时才停止计数,完成测量过程。若计数器1对标准信号的计数值为,计数器2对被测信号的计数值,则被测信号频率为: 运算器对上式进行运算,由显示器显示运算结果,即为被测信号的频率值。经过上述对频率和周期测量方法的分析,得知直接测量法不可能满足该任务所要求的测量精度,只有在直接与间接相结合的测量法与多周期同步测量法之间进行选择了,这两种方法在硬件的规模方面相差不大,测量结果均需经软件处理后才能得到,当采用直接与间接相结合的测量方法时,还需对被测信号的频率与中介频率的关系进行判断,以便决定采用测频法还是测周期法。而多周期同步等精度测量法不需要这一步,并能实现高的等精度频率与周期的测量。因此本次设计选用多周期同步等精度测量法来实现该频率计最理想。2.3 显示部分的方案提出及比较方案一:LED(Light Emitting Diode),发光二极管,简称LED,,是一种能够将电能转化为可见光的固态的半导体器件,它可以直接把电转化为光。LED的心脏是一个半导体的晶片,晶片的一端附在一个支架上,一端是负极,另一端连接电源的正极使整个晶片被环氧树脂封装起来。半导体晶片由两部分组成,一部分是P型半导体,在它里面空穴占主导地位,另一端是N型半导体,在这边主要是电子。但这两种半导体连接起来的时候,它们之间就形成一个“P-N结”。当电流通过导线作用于这个晶片的时候,电子就会被推向P区,在P区里电子跟空穴复合,然后就会以光子的形式发出能量,这就是LED发光的原理。而光的波长也就是光的颜色,是由形成P-N结的材料决定的。 它是一种通过控制半导体发光二极管的显示方式,用来显示文字、图形、图像、动画、行情、视频、录像信号等各种信息的显示屏幕。显示模块由LED灯组成的点阵构成,负责发光显示;控制系统通过控制相应区域的亮灭,可以让屏幕显示文字、图片、视频等内容;电源系统负责将输入电压电流转为显示屏需要的电压电流。 方案二:LCD 液晶显示器是 Liquid Crystal Display 的简称,LCD 的构造是在两片平行的玻璃基板当中放置液晶盒,下基板玻璃上设置TFT(薄膜晶体管),上基板玻璃上设置彩色滤光片,通过TFT上的信号与电压改变来控制液晶分子的转动方向,从而达到控制每个像素点偏振光出射与否而达到显示目的。液晶显示器按照控制方式不同可分为被动矩阵式LCD及主动矩阵式LCD两种。液晶显示模块具有体积小、功耗低、显示内容丰富、超薄轻巧等优点,在袖珍式仪表和低功耗应用系统中得到广泛的应用。LED与LCD比较:在低光度下能量转换效率高(电能转换成光能的效率) - 也即较省电,非常适合在低光度需求中使用,但是当提高光度至如台头灯般或更高时,LED的效率比钨丝灯泡高,但比荧光灯差;反应时间短 - 可以达到很高的闪烁频率; 稳定性好,使用寿命长 - 在适当的散热和环境下可达35,000 50,000小时; 耐震荡等机械冲击 - 由于LED是一种PN结二极管,属于固态元件,没有灯丝、玻璃罩等,因此机械强度大,耐振动和耐冲击能力强; 体积小,重量轻,适用性强;便于聚焦 - 因发光体积细小,而易于以透镜等方式达致所需集散程度,藉改变其封装外形,其发光角度由大角度散射至细角度聚焦都可以达成;单色性强 - 由于是单一能级光出的光子,波长比较单一,能在不加滤光器下提供多种单纯的颜色; 色域较为广阔 ;绿色环保 - LED是由无毒的材料作成,不像荧光灯含水银会造成污染,同时LED也可以回收再利用综上所述LED的优势,本次设计显示部分用LED最理想。2.4 键盘部分的方案提出及比较单片机系统中常见的键盘有:触摸式键盘、薄膜键盘和按键式键盘。其中按键式键盘是最常用的。键的闭合与否反映在行线输出电压上就是呈现高电平或者低电平。如果呈现高电平,表示键断开,低电平则表示键闭合,通过对行线的电平高、低状态的检测,便可以确认按键按下以及按键释放与否。键盘可分为两类:非编码键盘和编码键盘。非编码键盘有两种结构:独立式键盘和矩阵式键盘。键盘的工作方式有3种,即编程扫描、定时扫描和中断扫描。编程扫描是利用单片机空闲时,调用键盘扫描子程序,反复扫描键盘,来响应键盘的输入请求。定时扫描通常利用单片机内定时器产生的定时中断,进入中断子程序来对键盘进行扫描,在有键按下时识别出该键,并执行相应键的处理程序。独立按键:一个按键占用单独的一个I/O口;独立式键盘的特点是,一键一线,各键相互独立,每个按键各接一条I/O口线,通过检测I/O口输入线的电平状态,可以很容易的判断那个按键被按下。矩阵按键:在键盘中按键数量较多时,为了减少I/O口的占用,通常将按键排列成矩阵形式。键盘的工作原理: 按键设置在行、列线交点上,行、列线分别连接到按键开关的 两端。行线通过上拉电阻接到+5V 电源上。无按键按下时,行线处 于高电平的状态, 而当有按键按下时, 行线电平与此行线相连的列 线电平决定。在矩阵式键盘中,每条水平线和垂直线在交叉处不直接连通,而是通过一个按键加以连接。这样,一个端口(如P1口)就可以构成4*4=16个按键,比之直接将端口线用于键盘多出了一倍,而且线数越多,区别越明显,比如再多加一条线就可以构成20键的键盘,而直接用端口线则只能多出一键(9键)。由此可见,在需要的键数比较多时,采用矩阵法来做键盘是合理的。综上上述,为了减少I/O口的占用和设计需要键数多,本次频率设计采用矩阵式键盘。 2.5 控制核心的方案提出及比较 方案一:FPGA是英文Field Programmable Gate Array的缩写,即现场可编程门阵列,它是在PAL、GAL、EPLD等可编程器件的基础上进一步发展的产物。现场可编程门阵列(FPGA)是由掩膜可编程门阵列(MPGA)和可编程逻辑器件二者演变而来的,并将它们的特性结合在一起,因此FPGA既有门阵列的高逻辑密度和通用性,又有可编程逻辑器件的用户可编程特性。FPGA通常包含三类可编程资源:可编程逻辑功能块、可编程I/O块和可编程互连。可编程逻辑功能块是实现用户功能的基本单元,它们通常排列成一个阵列,散布于整个芯片;可编程I/O块完成芯片上逻辑与外部封装脚的接口,常围绕着阵列排列于芯片四周;可编程内部互连包括各种长度的连线线段和一些可编程连接开关,它们将各个可编程逻辑块或I/O块连接起来,FPGA在可编程逻辑块的规模,内部互连线的结构和采用的可编程元件上存在较大的差异。较常用的有Altera、Xinlinx和Actel公司的FPGA。FPGA一般用于逻辑仿真。电路设计工程师设计一个电路首先要确定线路,然后进行软件模拟及优化,以确认所设计电路的功能及性能。然而随着电路规模的不断增大,工作 频率的不断提高,将会给电路引入许多分布参数的影响,而这些影响用软件模拟的方法较难反映出来,所以有必要做硬件仿真。FPGA就可以实现硬件仿真以做成模型机。将软件模拟后的线路经一定处理后下载到FPGA,就可容易地得到一个模型机,从该模型机,设计者就很直观地测试其逻辑功能及性能指标。 方案二:CPLD(Complex Programmable Logic Device)复杂可编程逻辑器件。该器件继承了ASIC的大规模、高集成度、高可靠性的优点,又克服了ASIC设计周期长、投资大、灵活性差的缺点,逐步成为复杂数字软硬件电路设计的理想首选,它具有编程灵活、集成度高、设计开发周期短、适用范围宽、开发工具先进、设计制造成本低、对设计者的硬件经验要求低、标准产品无需测试、保密性强、价格大众化、可编程性和实现方案容易改等特点,可实现较大规模的电路设计,因此被广泛应用于产品的原型设计和产品生产(一般在10000件以下)之中。几乎所有应用中小规模通用数字集成电路的场合均可应用CPLD器件。CPLD器件已成为电子产品不可缺少的组成部分,它的设计和应用成为电子工程师必备的一种技能。 方案三:单片机是一种集成电路芯片,采集超大规模集成电路技术把具有数据处理能力(如算数运算、逻辑运算、数据传送、中断处理)的微型处理器,随机存取数据存储器(RAM)、输入/输出电路(I/O),可能还包括定时/计数器、串行通信口(SCI)、显示驱动电路(LCD或LED驱动电路)、脉宽调制电路(PWM)模拟多路转化器及A/D转化器等电路集成到一片芯片上,构成一个最小又完善的计算机系统。随着单片机技术的发展,它在芯片内集成了许多面对测控对象的接口电路,如ADC、DAC、高速I/O口、PWM、WDT等。 单片机以体积小、功能强、可靠性高、性能价格比高等特点,已成为实现工业生产技术进步和开发机电一体化和智能化测控产品的重要手段。由于微电子技术和计算机技术的发展,数字频率计都在不断地进步,灵敏度不断提高,频率范围不断扩大,功能不断地增加。FPGA的频率测量方案主要运用FPGA的结构灵活,其逻辑单元、可编程内部连线和I/O单元都可以由用户编程,可以实现任何逻辑功能,满足各种设计需求,其速度快、功耗低,通用性强,特别适用于复杂系统的设计。但FPGA设计有自身的缺点:FPGA设计软件一般需要对电路进行逻辑综合优化(Logic Synthesis & Optimization),以得到易于实现的结果,因此,最终设计和原始设计之间在逻辑实现和时延方面具有一定的差异;FPGA一般采用查找表(LUT)结构, AND-OR结构或多路选择器结构,这些结构的优点是可编程性,缺点是时延过大,造成原始设计中同步信号之间发生时序偏移。同时,如果电路较大,需要经过划分才能实现,由于引出端的延迟时间,更加大了延迟时间和时序偏移;FPGA的容量和I/O数目都是有限的,因此,一个较大的电路必须经过逻辑划分(Logic Partition)才能用多个FPGA芯片实现,划分算法的优劣直接影响设计的性能。单片机的频率测量计设计方案主要是以单片机为基础,原理简单,但由于自身精度问题,测量的范围小。单片机的频率计的设计的优点:单片机在控制领域中有很多优点,如体积小、成本低、运用灵活、抗干扰能力强,可以方面地实现多机和分布式控制。并且利用单片机设计的频率计原理框图简单,所用元器件少,电路不易出错,其程序存放在内部存储器上,不需要外部存储器芯片,使用方面。且单片机便宜稳定开发简单通用性好。单片机的频率计的设计的缺点:所测信号的频率范围窄,若要扩大频率范围需外加分频器。由单片机单独完成,利用单片机内部计数器及软件共同控制下,在设定的时间内,利用单片机内部的两个计数器分别对外部测试信号和内部时钟周期信号进行同步计数,计数结果暂存于单片机内部。计数结束后,通过单片机进行计算得到测量结果。但根据设计要求,测频范围为1Hz1MHz,在快速测量的要求下要保证较高精度的测量,必须采用较高的标准频率信号;而单片机受本身时钟频率和若干指令运算的限制,测量速度较慢,无法满足高速、高精度的测频要求。CPLD和单片机结合的频率测量设计方案主要是以单片机作为系统的辅助部件,CPLD完成各种时序逻辑控制、计数功能。较好的利用了CPLD的高精度、高速等方面的特点。CPLD单独完成,应用VHDL硬件描述语言,利用CPLD内部丰富的数据类型和层次化的结构模型,对整个系统进行逻辑设计并用计算机仿真,生成符合要求的、在电路结构上可实现的数字逻辑,再下载到可编程逻辑器件中,即可完成设计任务。在快速测量的要求下,要保证较高精度的测频,必须采用较高的标准频率信号;而单片机受本身时钟频率和若干指令运算的限制,测频速度较慢,无法满足高速、高精度的要求。采用高集成度、高精度的CPLD为实现高速、高精度的测频提供了保证。且CPLD的时钟延迟可达ns级,结合其并行工作方式,在超高速、实时测控方面有非常广阔的应用前景;并且CPLD具有高集成度、高可靠性,几乎可将整个设计系统下载于同一芯片中,实现所谓片上系统,从而大大缩小了体积,具有可编程型和实现方案容易改动的特点,有利于产品的研制和升级。综合上述的方案,进行分析,在方案选择上,考虑到经济、性能、精度、方案的优势等问题,本次设计选择基于CPLD来设计频率计最理想。 3 硬件电路设计3.1 频率计的系统级总体结构框图图3.1频率计的系统结构框图图中被测信号从A通道输入,送到多周期同步等精度测量频率计的核心结构,及经过测量电路测量运算,来分别测量周期频率和脉宽,最后将测量结果输出到数码显示器显示。图中,键盘可以控制信号的计数时间和输入程序。对该频率计而言,其面板图上应将各个LED数码显示器、LED发光管指示器、单位符号等表示出来,还要将各种功能键、开关、按钮的布放位置表示出来,并注上他们对应的名称。此外,还要将被测信号输入端以及电源开关、电源指示灯的布放位置表示出来。 该系统可以细分为三个子系统。1、输入通道,该子系统主要是由模拟电路组成。2、多周期同步等精度频率、周期、时间等的测量控制及功能切换逻辑,该子系统基本上由数字硬件电路组成;3、CPLD及其外围部件。这样划分有利于设计工作的安排与分工,因为这三部分对应于三种不同类型的电子设计方法,并需要有不同的设计工具来支持。 1、输入通道。 输入通道组成框图如图3.2所示图3.2输入通道组成框图输入通道中的输入级、放大级、整形级采用模拟集成电路来实现,被测信号经电压比较器整形后输出的脉冲信号已为TTL电平,可直接送到后面的数字电路去处理。该数字电路的作用是对输入信号的边沿进行选择,产生与所选边沿所对应的脉冲信号,为后面的硬件实现对频率、周期、脉宽的测量提供所需的信号。基本要求部分给定的信号最小值为0.5V,而在发挥部分作用时又提出了要能测量小信号的要求,可将最小信号的值定为0.02V。整形器件采用TTL器件,其正常工作的最小输入电压为2V,由此可以估计出前置放大器的增益为2/0.02=100.该放大器的带宽应为0-100MHz。此外如果还要实现发挥部分提出的测量小信号的要求,通道中还需要设置灵敏度切换电路。 2、多周期同步等精度测量控制及功能切换逻辑。由于该系统全是数字电路,采用CPLD器件来实现这部分比较方便。由总体指标要求不难判断,这部分脉冲信号的最高频率要达到10MHz,而现代多数CPLD器件的最高工作频率均大大超过10MHz,因此用该类型器件来实现图3.3中间部分在工作速度上是没问题的。一般情况下应选工作电压为+5V、输入/输出与TTL兼容的CPLD器件,以便于和输入通道以及CPLD相接口。 3、CPLD子系统。对这部分指标的主要考虑如下:该CPLD由+5V电源供电,I/O口与TTL电平兼容,并有足够数目的I/O口,用来与键盘LED显示器相接口,以及作为控制信号的输出口和被测信号的输入口;要有丰富的四则算术运算和逻辑运算指令,指令运行速度要快;片内除RAM外还要有E²PROM;至少有两个16位定时器/计数器;有外部中断输入引脚;具有串行通信口;价格要低廉。3.2 CPLD的芯片选择EPM7128SLC84-15是Altera公司的MAX7000S系列CPLD芯片。MAX7000系列是以MAX结构为基础的基于EEPROM的可编程逻辑器件。MAX7000系列CPLD包含5.0V MAX7000器件和5.0V基于ISP的MAX7000S器件。完全符合IEEE 1149.1 JTAG 边界扫描标准。MAX7000S器件使用44208引脚的PLCC、PGA、PQFP、RQFP和l.0mm的TQFP封装,图3.3为84引脚的PLCC封装图。表3.4为MAX7000S器件的结构,表3.5为MAX7000器件的I/O控制块的结构图,表3.6MAX7000S器件的资源

    注意事项

    本文(基于CPLD的频率测量计毕业设计.doc)为本站会员(文库蛋蛋多)主动上传,三一办公仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三一办公(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    备案号:宁ICP备20000045号-2

    经营许可证:宁B2-20210002

    宁公网安备 64010402000987号

    三一办公
    收起
    展开