欢迎来到三一办公! | 帮助中心 三一办公31ppt.com(应用文档模板下载平台)
三一办公
全部分类
  • 办公文档>
  • PPT模板>
  • 建筑/施工/环境>
  • 毕业设计>
  • 工程图纸>
  • 教育教学>
  • 素材源码>
  • 生活休闲>
  • 临时分类>
  • ImageVerifierCode 换一换
    首页 三一办公 > 资源分类 > DOC文档下载  

    汽车尾灯设计论文(带EDA仿真) .doc

    • 资源ID:2956776       资源大小:477KB        全文页数:18页
    • 资源格式: DOC        下载积分:8金币
    快捷下载 游客一键下载
    会员登录下载
    三方登录下载: 微信开放平台登录 QQ登录  
    下载资源需要8金币
    邮箱/手机:
    温馨提示:
    用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP免费专享
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    汽车尾灯设计论文(带EDA仿真) .doc

    1.题目分析1.1设计要求假设汽车尾灯两侧各有3盏指示灯,设计其控制功能如下:1.汽车正常行驶时指示灯都不亮;2.汽车右转弯时,右侧一盏指示灯亮;3.汽车左转弯时,左侧一盏指示灯亮;4.汽车刹车时,左右两侧其中一盏指示灯亮;5.汽车夜间行驶时,左右两侧的一盏指示灯同时亮,以供照明。1.2设计思路根据系统设计要求,系统采用自顶向下的设计方法,顶层设计采用原理图设计的方式,如下所示:1.3功能要求正常行驶时所有的灯都不亮,当汽车右转弯时,右侧灯RD1闪烁;左转弯,左侧灯LD1闪烁;刹车时,左侧灯LD2和右侧灯RD2同时亮;夜间行驶时,右侧RD3和左侧LD3同时亮;并不可能出现RD1 和LD1同时亮的情况。2.选择方案2.1方案一采用传统的自底向上的设计方法。该根据系统对硬件的要求,写出详细的技术规格书,并画出系统的控制流程图。根据技术规格书和控制流程,对系统的功能进行细化,合理的划分功能模块,画出系统的功能框图。对各个功能模块进行细化和电路设计。各个功能模块电路设计、调试完成后,将各个功能模块的硬件电路连接起来在进行系统的调试,最后完成整个系统的硬件测试。2.2方案二应用VHDL进行自顶向下的设计,是采用可完全独立于目标器件芯片物理结构的硬件描述语言。就是使用VHDL模型在所有综合级别上对硬件设计进行说明、建模和仿真测试。其设计流程如下:(1)设计说明书(6)逻辑综合(2)建立VHDL行为模型(7)测试向量生成(3)VHDL行为仿真(8)功能仿真(4)VHDL-RTL级建模(5)前端功能仿真设计完成(11)硬件测试(9)结构综合(10)门级时序仿真由于VHDL设计的可移植性、EDA平台的通用性以及与具体硬件结构的无关性,使得前期的设计可以容易的应用于新的设计项目,而且项目设计的周期可以显著缩短。另外本方案还具有简单易行的特性。综上所述,本实验选用方案2。3.细化框图该设计划分为六个功能子模块,分别如下:3.1汽车尾灯主控制模块汽车尾灯主控模块左侧灯选择控制模块输入信号右侧灯选择控制模块LD1LD2RD1RD2RD3LD33.2时钟分频模块时钟信号分频模块RD1LD13.3左侧尾灯功能模块汽车尾灯主控模块左侧灯选择控制模块左转弯控制信号LD1时钟模块时钟信号3.4右侧尾灯功能模块右转弯控制信号汽车尾灯主控模块右侧灯选择控制模块RD1时钟模块时钟信号3.5夜间行驶功能控制模块夜间行驶控制信号汽车尾灯主控模块左侧灯选择控制模块右侧灯选择控制模块LD3RD33.6刹车功能控制模块刹车控制信号汽车尾灯主控模块左侧灯选择控制模块LD2RD2右侧灯选择控制模块4.编写应用程序并仿真4.1汽车尾灯主控制模块CTRL数据入口:RIGHT:右转信号;LEFT:左转信号;BRAKE:刹车信号;NIGHT:夜间行驶信号;数据出口:LP:左侧灯控制信号;RP:右侧灯控制信号;LR:错误控制信号;BRAKE_LED:刹车控制信号;NIGHT_LED:夜间行驶控制信号;程序功能描述: 该段程序用于对汽车尾灯进行整体控制,当输入为左转信号时,输出左侧灯控制信号;当输入为右转信号时,输出右侧灯控制信号;当同时输入LEFT和RIGHT信号时,输出错误控制信号。当输入为刹车信号时,输出刹车控制信号;当输入为夜间行驶信号时,输出为夜间行驶控制信号。具体操作:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY CTRL IS PORT(LEFT,RIGHT,BRAKE,NIGHT: IN STD_LOGIC; LP,RP,LR,BRAKE_LED,NIGHT_LED: OUT STD_LOGIC);END ENTITY CTRL;ARCHITECTURE ART OF CTRL IS BEGIN NIGHT_LED<=NIGHT; BRAKE_LED<=BRAKE; PROCESS(LEFT,RIGHT) VARIABLE TEMP:STD_LOGIC_VECTOR(1 DOWNTO 0); BEGIN TEMP:=LEFT&RIGHT; CASE TEMP IS WHEN "00"=>LP<='0'RP<='0'LR<='0' WHEN "01"=>LP<='0'RP<='1'LR<='0' WHEN "10"=>LP<='1'RP<='0'LR<='0' WHEN OTHERS=>LP<='0'RP<='0'LR<='1' END CASE; END PROCESS;END ARCHITECTURE ART;仿真波形图如下:4.2时钟分频模块SZ数据输入:CLK:时钟输入信号;数据输出: CP:尾灯闪烁触发信号;程序功能描述: 本模块用于尾灯的闪烁控制,首先定义一个八位的标准逻辑位矢量数据类型,用于时钟上升沿的累加,将八位的标准逻辑位矢量数据的第五位作为尾灯闪烁触发信号输出。具体操作:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY SZ IS PORT(CLK: IN STD_LOGIC; CP: OUT STD_LOGIC);END ENTITY SZ;ARCHITECTURE ART OF SZ IS SIGNAL COUNT:STD_LOGIC_VECTOR(7 DOWNTO 0); BEGIN PROCESS(CLK) BEGIN IF CLK'EVENT AND CLK='1' THEN COUNT<=COUNT+1; END IF; END PROCESS; CP<=COUNT(3);END ARCHITECTURE ART;仿真波形图:4.3右侧尾灯控制模块RC数据入口: CLK:时钟控制信号;RP:右侧灯控制信号;LR:错误控制信号;BRAKE:刹车控制信号;NIGHT:夜间行驶控制信号;数据出口:LEDR:右侧RD1灯控制信号;LEDB:右侧RD2灯控制信号;LEDN:右侧RD3灯控制信号;程序功能描述: 本描述用于控制右侧灯的亮、灭和闪烁情况,当时钟上升沿信号和右侧灯控制信号或刹车控制信号或夜间行驶信号同时出现时,右侧相应的灯亮或出现闪烁。当错误控制信号出现时,RD1灯不亮。具体操作:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY RC IS PORT(CLK,RP,LR,BRAKE,NIGHT: IN STD_LOGIC; LEDR,LEDB,LEDN: OUT STD_LOGIC);END ENTITY RC;ARCHITECTURE ART OF RC IS BEGIN LEDB<=BRAKE; LEDN<=NIGHT; PROCESS(CLK,RP,LR) BEGIN IF CLK'EVENT AND CLK='1' THEN IF(LR='0') THEN IF(RP='0') THEN LEDR<='0' ELSE LEDR<='1' END IF; ELSE LEDR<='0' END IF; END IF; END PROCESS;END ARCHITECTURE ART;波形仿真图:4.4左侧尾灯控制模块LC数据入口: CLK:时钟控制信号;LP:左侧灯控制信号;LR:错误控制信号;BRAKE:刹车控制信号;NIGHT:夜间行驶控制信号;数据入口:LEDL:左侧LD1灯控制信号;LEDB:左侧LD2灯控制信号;LEDN:左侧LD3灯控制信号;程序功能描述:本程序用于控制左侧灯的亮、灭和闪烁情况,当时钟上升沿信号和左侧灯控制信号或刹车控制信号或夜间行驶信号同时出现时,左侧相应的灯亮或出现闪烁。当错误控制信号出现时,LD1灯不亮。具体操作:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY LC IS PORT(CLK,LP,LR,BRAKE,NIGHT: IN STD_LOGIC; LEDL,LEDB,LEDN: OUT STD_LOGIC);END ENTITY LC;ARCHITECTURE ART OF LC IS BEGIN LEDB<=BRAKE; LEDN<=NIGHT; PROCESS(CLK,LP,LR) BEGIN IF CLK'EVENT AND CLK='1' THEN IF(LR='0') THEN IF(LP='0') THEN LEDL<='0' ELSE LEDL<='1' END IF; ELSE LEDL<='0' END IF; END IF; END PROCESS;END ARCHITECTURE ART;波形仿真图:5.全系统联调5.1顶层原理图5.2仿真结果5.3仿真波形分析 输入刹车信号一直为高电平,输出LD2灯和RD2灯也为长亮;左转信号为高电平时,LD1灯闪烁,右转信号为高电平时,RD1灯闪烁;当左转信号和右转信号同时为高电平时,LD1灯和RD1灯都不亮;夜间行驶信号为高电平时,LD3灯和RD3灯同时亮。波形仿真结果满足预期的功能。6 硬件测试及说明6.1 引脚锁定选用模式5进行硬件测试,时钟CLK接CLOCK2,通过短路帽选择8HZ信号;键1键4分别控制输入LEFT、RIGHT、BRAKE、NIGHT;D1D6分别为输出LD1、LD2、LD3、RD1、RD2、RD3。6.2 信号名与引脚对照表输入信号按键信号脚引脚号CLKCLOCK2CLOCK217LEFT键1PIO01RIGHT键2PIO12BRAKE键3PIO23NIGHT键4PIO34输出灯信号脚引脚号LD1D1PIO811LD2D2PIO932LD3D3PIO1033RD1D4PIO1134RD2D5PIO1235RD3D6PIO13366.3 硬件测试1、按亮键1,D1灯闪亮,表示车辆左转。2、按亮键2,D4灯闪亮,表示车辆右转。3、同时按亮键1和键2,所有的灯都不亮,表示出现错误的输入信号。4、在3的基础上,按灭键1,D4灯闪亮,表示车辆右转;按灭键2,D1灯闪亮,表示车辆左转。5、按亮键3,D2和D5灯长亮,表示车辆刹车。6、按亮键4,D3和D6灯长亮,表示车辆夜间行驶。7、同时按亮键3和键4,D2、D3、D5、D6都长亮,表示车辆在夜间行驶时刹车。8、同时按亮键3、键4、键1,D2、D3、D5、D6长亮,D1灯闪烁,表示车辆夜间行驶并在左转时刹车。9、同时按亮键3、键4、键2,D2、D3、D5、D6长亮,D4灯闪烁,表示车辆夜间行驶并在右转时刹车。10、同时按亮键3、键1,D2和D5灯长亮,D1灯闪烁,表示车辆左转时刹车。11、同时按亮键3、键2,D2和D5灯长亮,D4灯闪烁,表示车辆左转时刹车。12、同时按亮键4、键1,D3和D6灯长亮,D1灯闪烁,表示车辆夜间行驶时左转。13、同时按亮键4、键2,D3和D6灯长亮,D4灯闪烁,表示车辆夜间行驶时左转。综上,硬件测试结果满足方案中的功能要求。7结论经过波形仿真和硬件测试知,该设计方案完全符合预期的功能。8课程总结经过七周的上机实验,我熟练掌握了QuartusII软件的使用方法和设计流程,掌握了图形输入法和利用VHDL语言进行自顶向下设计的流程。也能够将编译和仿真成功的程序下载到康芯公司的Cyclone芯片EP1C3T144C8中在GW48教学试验系统中进行硬件仿真。VHDL语言是目前超大规模集成电路设计中不可缺少的工具之一,其在电子信息、通信、自动控制及计算机应用等领域的重要性日益突出。作为一名自动化专业的本科生,应该系统的掌握这门课并且能够在模仿别人的设计思路的基础上有所创新。EDA课程实践给了我一个将理论与实践相结合的机会。在前六周的上机课中,我一直在弄懂实验指导书上已经给出的实验原理和验证已经给出源程序中度过,虽然只是在验证别人的设计思路,每一次的硬件仿真成功还是给了我莫大的成功的喜悦。每一次的试验过程中都会出现一些错误,有人为原因也有硬件的原因,但大部分情况下,我都能找出原因并解决它。实在不能解决的时候,我会询问老师,并且能够在解决问题之后进行反思,告诫自己在以后的试验中要避免出现类似的问题。最后两周的课程设计中,我选择的课题不是很难,但是我还是在试验前查阅了很多资料,并预先编写了源代码和进行编译、仿真。所以真正考核的时候,比较顺利。9参考文献目录1、潘松、黄继业编著,EDA技术与VHDL,北京:清华大学出版社;2、雷伏荣编著,VHDL电路设计,北京:清华大学出版社;3、潘松、黄继业编著,EDA技术实用教程,北京:科学出版社4、5、万方数据库:http:6、10附录(源程序)汽车尾灯主控制模块CTRL:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY CTRL IS PORT(LEFT,RIGHT,BRAKE,NIGHT: IN STD_LOGIC; LP,RP,LR,BRAKE_LED,NIGHT_LED: OUT STD_LOGIC);END ENTITY CTRL;ARCHITECTURE ART OF CTRL IS BEGIN NIGHT_LED<=NIGHT; BRAKE_LED<=BRAKE; PROCESS(LEFT,RIGHT) VARIABLE TEMP:STD_LOGIC_VECTOR(1 DOWNTO 0); BEGIN TEMP:=LEFT&RIGHT; CASE TEMP IS WHEN "00"=>LP<='0'RP<='0'LR<='0' WHEN "01"=>LP<='0'RP<='1'LR<='0' WHEN "10"=>LP<='1'RP<='0'LR<='0' WHEN OTHERS=>LP<='0'RP<='0'LR<='1' -输出错误控制信号 END CASE; END PROCESS;END ARCHITECTURE ART;时钟分频模块SZ:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY SZ IS PORT(CLK: IN STD_LOGIC; -时钟输入 CP: OUT STD_LOGIC);END ENTITY SZ;ARCHITECTURE ART OF SZ IS SIGNAL COUNT:STD_LOGIC_VECTOR(7 DOWNTO 0); -定义八位标准逻辑位矢量数据类型 BEGIN PROCESS(CLK) BEGIN IF CLK'EVENT AND CLK='1' THEN -检测时钟上升沿 COUNT<=COUNT+1; END IF; END PROCESS; CP<=COUNT(3); -输出第五位END ARCHITECTURE ART;右边尾灯控制模块RC:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY RC IS PORT(CLK,RP,LR,BRAKE,NIGHT: IN STD_LOGIC; LEDR,LEDB,LEDN: OUT STD_LOGIC);END ENTITY RC;ARCHITECTURE ART OF RC IS BEGIN LEDB<=BRAKE; LEDN<=NIGHT; PROCESS(CLK,RP,LR) BEGIN IF CLK'EVENT AND CLK='1' THEN -检测时钟上升沿 IF(LR='0') THEN IF(RP='0') THEN LEDR<='0' ELSE LEDR<='1' END IF; ELSE LEDR<='0' END IF; END IF; END PROCESS;END ARCHITECTURE ART;左边尾灯控制模块LC:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY LC IS PORT(CLK,LP,LR,BRAKE,NIGHT: IN STD_LOGIC; LEDL,LEDB,LEDN: OUT STD_LOGIC);END ENTITY LC;ARCHITECTURE ART OF LC IS BEGIN LEDB<=BRAKE; LEDN<=NIGHT; PROCESS(CLK,LP,LR) BEGIN IF CLK'EVENT AND CLK='1' THEN -检测时钟上升沿 IF(LR='0') THEN IF(LP='0') THEN LEDL<='0' ELSE LEDL<='1' END IF; ELSE LEDL<='0' END IF; END IF; END PROCESS;END ARCHITECTURE ART;元件例化Library ieee;Use ieee.std_logic_1164.all;Use ieee.std_logic_unsigned.all;Entity tp is Port(clk:in std_logic;Left:in std_logic;Right:in std_logic;Brake:in std_logic;Night:in std_logic;Ld1,ld2,ld3:out std_logic;Rd1,rd2,rd3:out std_logic);End;Architecture bh of tp is Component sz isPort(clk:in std_logic;Cp:out std_logic); End component; Component ctrl isPort(left,right,brake,night:in std_logic;Lp,rp,lr,brake_led,night_led:out std_logic); End component; Component lc isPort(clk,lp,lr,brake,night:in std_logic;Ledl,ledb,ledn:out std_logic); End component; Component rc isPort(clk,rp,lr,brake,night:in std_logic;Ledr,ledb,ledn:out std_logic); End component;Signal tmp0,tmp1,tmp2,tmp3,tmp4:std_logic;Signal err0,err1,err2,err3,err4,err5:std_logic;signal bm:std_logic;BeginU1:sz port map(clk,bm);U2:ctrl port map(left,right,brake,night,tmp0,tmp1,tmp2,tmp3,tmp4);U3:lc port map(clk,tmp0,tmp2,tmp3,tmp4,err0,err1,err2);U4:rc port map(clk,tmp1,tmp2,tmp3,tmp4,err3,err4,err5);Ld1<=err0 and bm;Ld2<=err1;Ld3<=err2;Rd1<=err3 and bm;Rd2<=err4;Rd3<=err5;End;

    注意事项

    本文(汽车尾灯设计论文(带EDA仿真) .doc)为本站会员(文库蛋蛋多)主动上传,三一办公仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三一办公(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    备案号:宁ICP备20000045号-2

    经营许可证:宁B2-20210002

    宁公网安备 64010402000987号

    三一办公
    收起
    展开