欢迎来到三一办公! | 帮助中心 三一办公31ppt.com(应用文档模板下载平台)
三一办公
全部分类
  • 办公文档>
  • PPT模板>
  • 建筑/施工/环境>
  • 毕业设计>
  • 工程图纸>
  • 教育教学>
  • 素材源码>
  • 生活休闲>
  • 临时分类>
  • ImageVerifierCode 换一换
    首页 三一办公 > 资源分类 > DOC文档下载  

    基于可编程逻辑器件的数字抢答器 电路的设计定稿(王龙胜)word格式.doc

    • 资源ID:2881886       资源大小:403KB        全文页数:48页
    • 资源格式: DOC        下载积分:8金币
    快捷下载 游客一键下载
    会员登录下载
    三方登录下载: 微信开放平台登录 QQ登录  
    下载资源需要8金币
    邮箱/手机:
    温馨提示:
    用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP免费专享
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    基于可编程逻辑器件的数字抢答器 电路的设计定稿(王龙胜)word格式.doc

    西 安 邮 电 学 院 毕 业 设 计(论 文)题 目: 基于可编程逻辑器件的 数字抢答器电路的设计 学 院: 电子工程学院 系 部: 微电子学系 专 业: 集成电路设计与集成系统 班 级: 集电0701 学生姓名: 王龙胜 导师姓名: 王涛 职称: 讲师 起止时间:2011年 3月 28日至2011年 7月1日西 安 邮 电 学 院毕业设计(论文)任务书 学生姓名王龙胜指导教师王涛职称讲师学院电子工程学院系部微电子学系专业集成电路设计与集成系统题目基于可编程逻辑器件的数字抢答器电路的设计 任务与要求采用自顶向下的设计方法,使用硬件描述语言(VHDL),设计一个四路抢答器,并通过Mentor公司的modelsim进行仿真。要求:1 在前期的工作中学会查阅文献资料的方法,能够尽快了解并掌握与本课题相关的一些知识,并了解国内外的研究现状。2 在此基础上,通过软件编程实现题目要求,并进行仿真,得到一些结果并对其进行正确的分析。最后如果有条件下载到硬件芯片上。3 最后通过毕业论文的撰写,达到总结和提高的目的。开始日期2011年3月28日完成日期2011年7月1日主管院长(签字)年月日西 安 邮 电 学 院毕 业 设 计 (论文) 工 作 计 划 学生姓名王龙胜指导教师王涛职称讲师学院电子工程学院系部微电子学系专业集成电路设计与集成系统题目基于可编程逻辑器件的数字抢答器电路的设计工作进程起 止 时 间工 作 内 容2011.3.28-2011.4.11查阅资料了解该题目的研究现状,完成开题报告2011.4.12-2011.5.2对该课题相关的知识进行学习,掌握VHDL硬件描述语言的编程方法2011.5.3-2011.6.6针对题目要求进行编程,用计算机仿真,并对结果进行分析,得出一些有益的结果,最后如果有条件下载到硬件芯片上2011.6.7-2011.7.1论文的撰写和成稿,准备答辩主要参考书目(资料)1、PLD与数字系统设计 李辉 编著 西安电子科技大学出版社,2005.52、CPLD应用技术与数字系统设计 陈云洽 保延翔 编著 电子工业出版社,2003.53、基于Quartus2 的FPGA/CPLD数字系统设计实例 周润景 图雅 张丽敏 编著 电子工业出版社4、CPLD/FPGA可编程逻辑器件应用与开发王道宪 著,国防工业出版社,2004.15、VHDL基础及经典实例开发 孟庆海 张洲 编著 西安交通大学出版社2008.46、EDA技术和应用, 陈新华著,机械工业出版社,2008.8主要仪器设备及材料计算机一台并可以上互联网,相应的仿真软件及硬件芯片论文(设计)过程中教师的指导安排每周答疑一次对计划的说明 西安邮电学院毕业设计(论文)开题报告 电子工程 学院 微电子学 系集成电路设计与集成系统 专业 2007 级 01 班课题名称: 基于可编程逻辑器件的数字抢答器电路的设计 学生姓名: 王龙胜 学号:04076027指导教师: 王涛 报告日期: 2011年3月25日 1 本课题所涉及的问题及应用现状综述本课题设计一个简单的数字电路系统,运用 VHDL 硬件描述语言来设计抢答器。此抢答器功能齐全,可以实现四组的抢答判别、答题计时、答题计分功能,同时应用数码管来显示时间和分数的变化。根据本课题的要求,按照典型的 EDA 设计思路,对抢答器系统进行需求和功能分析。按自顶向下的层次进行行为级描述和数据流描述。此计时器的设计采用模块化结构,主要由以下 4 个组成,即 抢答判别模块、抢答计分模块、答题计时模块和总控制模块。在设计此抢答器时,采用模块化的设计思想,使设计起来更加简单、方便、快捷。CPLD/FPGA 是近几年集成电路中发展最快的产品。可编程逻辑器件依然是集成电路中最具活力和前途的产业。采用可编程逻辑器件设计逻辑系统克服了分离逻辑器件设计的种种缺点,设计工作从低级的依赖于设计人员的智慧的实现方式,上升到能够精确地反映设计思想的高层次,设计周期,成本降低,体积减小,可靠性高。目前,可编程逻辑器件的应用十分广泛,但是主要集中在电子领域中。目前计时器的设计大都比较的复杂,所用芯片太多,造成整体的价格提高,而且软件设计比较的复杂。导致性价比不是很高,很难得到广泛的推广。基于 CPLD用 VHDL 设计的抢答器一改他们的缺点,硬件比较的简单,软件也很容易。因此,实用性比较大。2本课题需要重点研究的关键问题、解决的思路及实现预期目标的可行性分析抢答器重点研究的关键问题:用硬件描述语言 VHDL 来实现每个模块的功能;系统设置外部操作开关;整个电路的设计借助于 modelsim软件设计,并运用数字逻辑电路相关理论知识,并进行仿真,得到了预期的结果。运用硬件描述语言 VHDL 来设计整个电路,系统仿真和硬件实现。 如果程序只用于仿真,那么几乎所有的语法和编程方法都可以使用。 但如果我们的程序是用于硬件实现(例如:用于 FPGA 设计),那么我们就必须保证程序“可综合”(程序的功能可以用硬件电路实现)。 不可综合的 HDL 语句在软件综合时将被忽略或者报错。 我们应当牢记一点:“所有的 HDL 描述都可以用于仿真,但不是所有的 HDL 描述都能用硬件实现。解决的思路:用 VHDL语言进行自上而下的设计,就是使用 VHDL 模型在所有综合级别上对硬件设计进行说明,建模和仿真测试。其基本设计流程如下:(1) 提出设计说明书,即用自然语言表达系统项目的功能特点和技术参数等。(2) 建立 VHDL 行为模型,进一步是将设计说明书转化为 VHDL 行为模型。(3) VHDL 行为仿真。利用 VHDL 仿真器对顶层系统的行为模型进行仿真测试,检查模拟结果继而进行修改和完善。(4) VHDL_RTL 级建模。(5)前端功能仿真。(6)逻辑综合。(7)测试向量生成。(8)功能仿真。(9)结构综合。(10)门级时序仿真。(11)硬件测试。实现预期目标的可行性分析:VHDL 语言具有很强的电路描述和建模能力,能从多个层次对数字系统进行建模和描述,从而大大简化了硬件设计任务,提高了设计效率和可靠性。 VHDL 具有与具体硬件电路无关和与设计平台无关的特性,并且具有良好的电路行为描述和系统描述的能力,在语言易读性和层次化结构化设计方面表现了强大的生命力和应用潜力。因此,VHDL 支持各种模式的设计方法,用 VHDL 来设计抢答器的一大优点是设计者可以专心致力于其功能的实现,而不需要对不影响功能的与工艺有关的因素花费过多的时间和精力。3完成本课题的工作方案2011.3.28-2011.4.11查阅资料了解该题目的研究现状,完成开题报告2011.4.12-2011.5.2对该课题相关的知识进行学习,掌握VHDL硬件描述语言的编程方法2011.5.3-2011.6.6针对题目要求进行编程,用计算机仿真,并对结果进行分析,得出一些有益的结果,最后如果有条件下载到硬件芯片上2011.6.7-2011.7.1论文的撰写和成稿,准备答辩4指导教师审阅意见指导教师(签字): 年 月 日说明:本报告必须由承担毕业论文(设计)课题任务的学生在毕业论文(设计) 正式开始的第1周周五之前独立撰写完成,并交指导教师审阅。西安邮电学院毕业设计 (论文)成绩评定表学生姓名王龙胜性别男学号04076027专 业班 级集电0701课题名称基于可编程逻辑器件的数字抢答器电路的设计课题类型硬件设计难度一般毕业设计(论文)时间2011 年3月28日7月1日指导教师王涛(职称 讲师 )课题任务完成情况论文 19 (千字); 设计、计算说明书 (千字); 图纸 (张);其它(含附件):指导教师意见分项得分:开题调研论证 分; 课题质量(论文内容) 分; 创新 分;论文撰写(规范) 分; 学习态度 分; 外文翻译 分指导教师审阅成绩:指导教师(签字): 年 月 日评阅教师意见分项得分:选题 分; 开题调研论证 分; 课题质量(论文内容) 分; 创新 分;论文撰写(规范) 分; 外文翻译 分评阅成绩: 评阅教师(签字): 年 月 日验收小组意见分项得分:准备情况 分; 毕业设计(论文)质量 分; (操作)回答问题 分验收成绩:验收教师(组长)(签字): 年 月 日答辩小组意见分项得分:准备情况 分; 陈述情况 分; 回答问题 分; 仪表 分答辩成绩: 答辩小组组长(签字): 年 月 日成绩计算方法(填写本系实用比例)指导教师成绩 () 评阅成绩 () 验收成绩 () 答辩成绩 ()学生实得成绩(百分制)指导教师成绩 评阅成绩 验收成绩 答辩成绩 总评 答辩委员会意见毕业论文(设计)总评成绩(等级): 院答辩委员会主任(签字): 学院(签章) 年 月 日备注目录摘要IABSTRACTII引言11可编程逻辑器件21.1可编程逻辑器件的发展历程21.2可编程逻辑器件的工艺和分类41.3 可编程逻辑器件的发展趋势51.4 EDA 技术的发展和应用62基于PLD 设计数字电路的基础知识82.1 PLD设计流程82.1.1 设计准备82.1.2 设计输入82.1.3 设计处理92.1.4 设计校验102.1.5 器件编程102.2 VHDL概述112.2.1 VHDL简介112.2.2利用VHDL语言设计数字系统的特点122.3 仿真工具Modelsim简介132.3.1 modelsim简介132.3.2 modelsim仿真步骤143 抢答器系统的设计163.1设计任务163.2设计原理163.2.1 总体设计思路163.2.2 总体设计方案173.3 模块电路设计183.3.1抢答判别模块设计183.3.2抢答计时模块的设计193.3.3抢答计分模块设计193.3.4 LED显示模块的设计203.3.5顶层模块的设计214主要功能模块的仿真224.1 抢答判别模块的仿真224.2 答题计时模块的仿真224.3 答题计分模块的仿真234.4 LED显示模块仿真图234.5 顶层模块仿真图24结论25致谢26参考文献27附录28摘要本文阐述了可编程逻辑器件(PLD)的概念和发展、VHDL语言的优点并分析讲解了抢答器的各模块的功能要求、基本原理以及实现方法。在此基础上用硬件描述语言VHDL编写一个抢答器电路的程序。采用自顶向下的设计方法,最后使用Modelsim软件进行仿真,并对仿真结果进行分析。本设计主要的功能是:1.对第一抢答信号的鉴别和锁存功能; 2.限时功能3.记分功能4.数码显示。关键词 可编程逻辑器件(PLD);抢答器;自顶向下的设计方法ABSTRACT This article elaborates the concept and development of PLD,explains the advantages of VHDL ,meanwhile, analysed the function request, the basic principle as well as the method of accomplishment of each parts. Then write a answering snatches program On this basis with a hardware description language VHDL. Adopting a top-down design method, and finally use Modelsim software for simulation, and analysis of the simulation results. The main feature of this design are:1. Accurately identificating of the signal of the first answer and latching this signal ; 2. The time limited function3. Score function 4. Digital display fuction.KEY WORDS PLD;the answering snatches;top-down design method引言当今电子产品正向功能多元化,体积最小化,功耗最低化的方向发展。它与传统的电子产品在设计上的显著区别师大量使用大规模可编程逻辑器件,使产品的性能提高,体积缩小,功耗降低.同时广泛运用现代计算机技术,提高产品的自动化程度和竞争力,缩短研发周期。EDA技术正是为了适应现代电子技术的要求,吸收众多学科最新科技成果而形成的一门新技术。EDA技术以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述主要表达方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关的开发软件,自动完成用软件的方式设计的电子系统到硬件系统的逻辑编译,逻辑化简,逻辑分割,逻辑映射,编程下载等工作。最终形成集成电子系统或专用集成芯片的一门新技术。本设计利用VHDL硬件描述语言结合可编程逻辑器件进行的,并通过数码管动态显示计时结果。抢答器可以由各种技术实现,如单片机等.利用可编程逻辑器件具有其他方式没有的特点,它具有易学,方便,新颖,有趣,直观,设计与实验项目成功率高,理论与实践结合紧密,体积小,容量大,I/O口丰富,易编程和加密等特点,并且它还具有开放的界面,丰富的设计库,模块化的工具以及LPM定制等优良性能,应用非常方便。因此,本设计采用可编程逻辑器件实现。1可编程逻辑器件1.1可编程逻辑器件的发展历程当今社会是数字化的社会,是数字集成电路广泛应用的社会。数字集成电路本身在不断地进行更新换代,它由早期的电子管、晶体管、小中规模集成电路,发展到超大规模集成电路(VLSI)以及许多具有特定功能的专用集成电路。但是,随着微电子技术的发展,设计与制造集成电路的任务已不完全由半导体厂商来独立承担。系统设计师们更愿意自己设计专用集成电路ASIC(Application Specific IC)芯片,而且希望ASIC的设计周期尽可能短,最好是在实验室里就能设计出合适的ASIC芯片,并且能立即投入实际应用之中。因而出现了现场可编程逻辑器件(FPLD),其中应用最广泛的当属现场可编程门阵列(FPGA)和复杂可编程逻辑器件(CPLD)。早期的可编程逻辑器件只有可编程只读存储器(PROM)、紫外线可擦除只读存储器(EPROM)和电可擦除只读存储器(EEPROM)3种。由于结构的限制,它们只能完成简单的数字逻辑功能。其后,出现了一类结构上稍复杂的可编程芯片,即可编程逻辑器件(PLD),它能够完成各种数字逻辑功能。典型的PLD由一个“与”门和一个“或”门阵列组成,而任意一个组合逻辑都可以用“与-或”表达式来描述,所以, PLD能以乘积之和的形式完成大量的组合逻辑功能。这一阶段的产品主要有PAL(可编程阵列逻辑)和GAL(通用阵列逻辑)。 PAL由一个可编程的与阵列和一个固定的或阵列构成,或门的输出可以通过触发器有选择地被置为寄存状态。PAL器件是现场可编程的,它的实现工艺有反熔丝技术、EPROM技术和EEPROM技术。还有一类结构更为灵活的逻辑器件是可编程逻辑阵列(PLA),它也由一个与阵列和一个或阵列构成,但是这两个阵列的连接关系都是可编程的。PLA器件既有现场可编程的,也有掩膜可编程的。在PAL的基础上,又发展了一种通用阵列逻辑GAL(Generic Array Logic),如GAL16V8、GAL22V10 等。它采用EEPROM工艺,实现了电可擦除、电可改写,其输出结构是可编程的逻辑宏单元,因而它的设计具有很强的灵活性,至今仍有许多人在使用。这些早期PLD器件的一个共同特点是可以实现速度特性较好的逻辑功能,但其过于简单的结构也使它们只能实现规模较小的电路。为了弥补这一缺陷,20世纪80年代中期,Altera公司和Xilinx公司分别推出了类似于PAL结构的扩展型CPLD(Complex Programmab1e Logic Device)和与标准门阵列类似的FPGA(Field Programmable Gate Array),它们都具有体系结构和逻辑单元灵活、集成度高以及适用范围宽等特点。这两种器件兼容了PLD和通用门阵列的优点,可实现较大规模的电路,编程也很灵活。与门阵列等其他ASIC相比,它们又具有设计开发周期短、设计制造成本低、开发工具先进、标准产品无须测试、质量稳定以及可实时在线检验等优点,因此被广泛应用于产品的原型设计和产品生产之中。几乎所有应用门阵列、PLD和中小规模通用数字集成电路的场合均可应用FPGA和CPLD器件。FPGA(现场可编程门阵列)和CPLD(复杂可编程逻辑器件)都是可编程逻辑器件,它们是在PAL、GAL等逻辑器件的基础之上发展起来的。同以往的PAL、GAL等相比较,FPGA/CPLD的规模比较大,它可以替代几十甚至几千块通用IC芯片。这样的FPGA/CPLD实际上就是一个子系统部件。这种芯片受到世界范围内电子工程设计人员的广泛关注和普遍欢迎。经过了十几年的发展,许多公司都开发出了多种可编程逻辑器件。比较典型的就是Xilinx公司的FPGA器件系列和Altera公司的CPLD器件系列,它们开发较早,占用了较大的PLD市场。通常来说,在欧洲用Xilinx的人多,在日本和亚太地区用Altera的人多,在美国则是平分秋色。全球60%以上的FPGA/CPLD产品是由Altera和Xilinx提供的。可以说Altera和Xilinx共同决定了PLD技术的发展方向。当然还有许多其他类型器件,如Lattice、Vantis、Actel、Quicklogic、Lucent等。目前,PLD工艺已经达到65纳米数量级,正向45纳米迈进。2005年Altera公司生产可编程逻辑芯片的集成度达5亿只晶体管。原来需要成千上万只电子元器件组成的电子设备电路,现在以单片超大规模集成电路即可实现,为SOC技术和SOPC的发展开拓了可实施的空间。SOC称为片上系统,它是指将一个完整产品的功能集成在一个芯片上或芯片组上。SOC中可以包括微处理器CPU、数字信号处理器DSP、存储器(ROM、RAM、Flash等)、总线和总线控制器、外围设备接口等,还可以包括数模混合电路(放大器、比较器、A/D和D/A转换器、锁相环等),甚至延拓到传感器、微机电和微光电单元。SOC是专用集成电路系统,其设计周期长,设计成本高,SOC的设计技术难以被中小企业、研究所和大专院校采用。为了让SOC技术得以推广,Altera公司于21世纪初推出SOPC新技术和新概念。SOPC称为可编程片上系统,它是基于可编程逻辑器件PLD(FPGA或CPLD)可重构的SOC。SOPC集成了硬核或软核CPU、DSP、锁相环(PLL)、存储器、I/O接口及可编程逻辑,可以灵活高效地解决SOC方案,且设计周期短、设计成本低,一般只需要一台配有SOPC开发软件的PC机和一台SOPC试验开发系统(或开发板),就可以进行SOPC的设计与开发。目前,SOPC技术已成为备受众多中小企业研究所和大专院校青睐的设计技术。11.2可编程逻辑器件的工艺和分类PLD 的发展离不开半导体集成电路工艺的发展,同样一种类型的PLD,因使用的半导体的工艺不同,将在性能上有很大的区别。目前,PLD 所使用的半导体集成电路工艺主要有以下几种:(1)熔丝和类熔丝结构。类熔丝和熔丝结构不同之处在于编程所产生的大电流可造成连接点电阻变小,形成短路:而熔丝则相反,使连接点电阻变大,造成断路。(2)紫外光可擦除,可编程结构,即EPROM 结构。(3)静态存储器结构。他是电子开关来构成连接点,编程时只须对应的管子栅极加上一定的电平,即可使管子襱和和截止,从而形成短路或开路。,因此他可以实现在线擦除或改写,方法同存储器的读写操作相似。但是这种结构具有易失性,断电后不能保存的缺点。因此在这种PLD 旁再设置一个EPROM,上电时,将编程代码对该PLD 写入,PLD 便能正常工作。可编程逻辑器件PLD 是作为一种通用型器件生产,然而它们的逻辑又是用户通过对器件编程来自行设定。它可以把一个数字系统集成在一块PLD 上,而不必由芯片制造商去设计和制作专用集成芯片。可编程逻辑器件的出现,改变了传统的数字逻辑系统的设计方法,传统的方法采用固定功能器件,通过设计电路来实现系统功能。采用可编程逻辑器件,通过定义器件内部的逻辑输入,输出引用端,将原来由电路板设计完成的大部分工作放在芯片设计中进行。要说明的是,设计者需要利用PLD 期间开发软件和硬件。PLD 开发软件根据设计需求,可自动进行逻辑电路输入,编译,逻辑划分,优化和模拟,得到一个满足设计要求的PLD 编程数据(熔丝图文件)。逻辑功能模拟通过之后,还需要将PLD 编程数据下载到编程器,编程器可将改编程数据写入PLD 器件中,使PLD 器件具有设计所要求的逻辑功能。PLD 的分类不具有通用的标准和方法,从不同的角度而言,有不同的分类方式以下就是当今比较流行的几种分类方式. 1从结构的复杂程度分类 从结构的复杂程度上一般可将 PLD 分为简单 PLD 和复杂PLD(CPLD),或分为低密度PLD 和高密度 PLD(HDPLD)。通常,当 PLD 中的等效门数超过 500门时,则认为它是高密度 PLD。传统的 PAL 和 GAL 是典型的低密度 PLD,其余如 EPLD、FPGA和 PLSI/ispLSI 等则称为 HDPLD 或 CPLD。2从互连结构上分类 从互连结构上可将 PLD 分为确定型和统计型两类。 确定型 PLD提供的互连结构每次用相同的互连线实现布线,所以,这类 PLD 的定时特性常常可以从数据手册上查阅而事先确定。这类 PLD 是由 PROM 结构演变而来的,目前除了 FPGA 器件外,基本上都属于这一类结构。 统计型结构是指设计系统每次执行相同的功能,却能给出不同的布线模式,一般无法确切地预知线路的延时。所以,设计系统必须允许设计者提出约束条件,如关键路径的延时和关联信号的延时差等。这类器件的典型代表是 FPGA 系列。 3从可编程特性上分类 从可编程特性上可将 PLD 分为一次可编程和重复可编程两类。一次可编程的典型产品是 PROM、PAL 和熔丝型 FPGA,其他大多是重复可编程的。其中,用紫外线擦除的产品的编程次数一般在几十次的量级,采用电擦除方式的产品的编程的次数稍多些,采用E2CMOS 工艺的产品,擦写次数可达上千次,而采用 SRAM(静态随机存取存储器)结构,则被认为可实现无限次的编程。从可编程器件的编程元件上分类 最早的 PLD 器件(如 PAL)大多采用的是 TTL 工艺,但后来的 PLD 器件(如 GAL、EPLD、FPGA 及 pLSI/ISP 器件)都采用 MOS 工艺(如 NMOS、CMOS、E2CMOS 等)。目前,一般有下列 5 种编程元件: 熔丝型开关(一次可编程,要求大电流); 可编程低阻电路元件(多次可编程,要求中电压); EPROM 的编程元件(需要有石英窗口,紫外线擦除); EEPROM 的编程元件; 基于 SRAM 的编程元件。1.3 可编程逻辑器件的发展趋势随着数字电路技术的发展与进步,可编程逻辑器件的发展趋势主要体现在以下几点:低密度PLD还将存在一定时期;高密度PLD继续向更高密度,更大容量迈进;IP内核得到进一步发展。具体体现在:1)PLD正在由点5V电压向低电压3.3V甚至2.5v器件演进,这样有利于降低功耗。2)ASCI和PLD出现相互融合。标准逻辑ASIC芯片尺寸小、功能强大、不耗电,但设计复杂,并且有批量要求;而可编程逻辑器件价格较低廉,能在现场进行编程,但它们体积大、 能力有限,而功耗比ASIC大。因此,从市场发展的情况看FPGA和ASIC正逐步走到一起来,互相融合,取长补短。3)ASIC和FPGA之间的界限正变得模糊。系统级芯片不仅集成RAM和微处理器,也集成FPGA。随着ASIC制造商向下发展和FPGA的向上发展,在CPLD/FPGA之间正在诞生一种“杂交”产品,以满足降低成本和尽快上市的要求。 4)价格不断降低。随着芯片生产工艺的不断进步,如深亚微米0.13um工艺已经成熟,芯片线宽的不断减少使芯片的集成度不断提高。Die(裸片)面积大小是产品价格高低的重要因素,线宽的减小必将大大降低了PLD产品的价格。5)集成度不断提高。微细化新工艺的推出以及市场的需要是集成度不断提高的基础和动力。许多公司在新技术的推动下,产品集成度迅速提高,尤其是最近几年的迅速发展,其集成度已经达到了1000万门,现在有的PLD则达到了几百万系统门甚至一千万系统门。6) 向系统级发展。集成度的不断提高使得产品的性能不断的提高,功能不断增多。最早的PLD仅仅能够实现一些简单的逻辑功能,而现在已经逐渐把DSP、MCU、存储器及应用接口等集成到PLD中,使得PLD功能大大增强,并逐渐对准了可编程逻辑器上系统集成SOPC(System On a Programmable Chip)。可以预见未来的一块电路版上可能只有两部分电路:模拟部分(包括电源)和一块PLD芯片,最多还有一些大容量的存储器。21.4 EDA 技术的发展和应用 人类社会已进入到高度发达的信息化社会,信息社会的发展离不开电子产品的进步。现代电子产品在性能提高、复杂度增大的同时,价格却一直呈下降趋势,而且产品更新换代的步伐也越来越快,实现这种进步的主要原因就是生产制造技术和电子设计技术的发展。前者以微细加工技术为代表,目前已进展到深亚微米阶段,可以在几平方厘米的芯片上集成数千万个晶体管;后者的核心就是EDA技术。EDA是指以计算机为工作平台,融合了应用电子技术、计算机技术、智能化技术最新成果而研制成的电子CAD通用软件包,主要能辅助进行三方面的设计工作:IC设计,电子电路设计以及PCB设计。没有EDA技术的支持,想要完成上述超大规模集成电路的设计制造是不可想象的,但是面对当今飞速发展的电子产品市场,设计师需要更加实用、快捷的EDA工具,使用统一的集体化设计黄精,改变传统的设计思路,将精力集中到设计构想、方案比较和寻找优化设计等方面,需要以最快的速度,开发出性能优良、质量一流的电子产品,对EDA技术提出了更高的要求。未来的EDA技术将在仿真、时序分析、集成电路自动测试、高速印刷版设计及开发操作平台的扩展等方面取得新的突破,向着功能强大、简单易学、使用方便的方向发展。 EDA技术是在电子CAD技术基础上发展起来的计算机软件系统,是指以计算机为工作平台,融合了应用电子技术、计算机技术、信息处理及智能化技术的最新成果,进行电子产品的自动设计。利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程在计算机上自动处理完成。现在对EDA的概念或范畴用得很宽。包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有EDA的应用。目前EDA 技术已在各大公司、企事业单位和科研教学部门广泛使用。例如在飞机制造过程中,从设计、性能测试及特性分析直到飞行模拟,都可能涉及到EDA技术。32基于PLD 设计数字电路的基础知识2.1 PLD设计流程PLD设计的大部分工作是在EDA软件工作平台上进行的,PLD设计流程如图2.1所示。EDA设计流程包括设计准备、设计输入、设计处理和器件编程4个步骤,以及相应的功能仿真、时序仿真和器件测试3个设计验证过程。图2.1 PLD设计流程2.1.1 设计准备设计准备是指设计者在进行设计之前,依据任务要求,确定系统所要完成的功能及复杂程度,器件资源的利用、成本等所要做的准备工作,如进行方案论证、系统设计和器件选择等。2.1.2 设计输入设计输入是指将PLD设计的系统或电路按照EDA开发软件要求的某种形式表示出来,并送入计算机的过程。设计输入有多种方式,包括采用硬件描述语言(如AHDL、VHDL和Verilog HDL等)进行设计的文本输入方式、图形输入方式和波形输入方式,或者采用文本、图形两者混合的设计输入方式。也可以采用自顶向下(Top-Down)的层次结构设计方法,将多个输入文件合并成一个设计文件等。1)图形输入也称为原理图输入,这是一种最直接的设计输入方式,它使用软件系统提供的元器件库及各种符号和连线画出设计电路的原理图,形成图形输入文件。这种方式大多用在对系统及各部分电路很熟悉的情况下,或在系统对时间特性要求较高的场合。优点是容易实现仿真,便于信号的观察和电路的调整。2)文本输入是指采用硬件描述语言进行电路设计的方式。硬件描述语言有普通硬件描述语言和行为描述语言,它们用文本方式描述设计和输入。普通硬件描述语言有AHDL、CUPL等,它们支持逻辑方程、真值表、状态机等逻辑表达方式。行为描述语言是目前常用的高层硬件描述语言,包括VHDL、Verilog HDL等,它们具有很强的逻辑描述和仿真功能,可实现与工艺无关的编程与设计,可以使设计者在系统设计、逻辑验证阶段便确立方案的可行性,而且输入效率高,在不同的设计输入库之间转换也非常方便。运用VHDL或Verilog HDL硬件描述语言进行设计已是当前的趋势。3)波形输入主要用于建立和编辑波形设计文件以及输入仿真向量和功能测试向量。波形设计输入适用于时序逻辑和有重复性的逻辑函数,系统软件可以根据用户定义的输入/输出波形自动生成逻辑关系。波形编辑功能还允许设计者对波形进行复制、剪切、粘贴、重复与伸展,从而可以用内部节点、触发器和状态机建立设计文件,并将波形进行组合,显示各种进制的状态值。还可以通过将一组波形重叠到另一组波形上,对两组仿真结果进行比较。2.1.3 设计处理设计处理是PLD设计中的核心环节。在设计处理阶段,编译软件将对设计输入文件进行逻辑化简、综合和优化,并适当地用一片或多片器件自动地进行适配,最后产生编程用的编程文件。设计处理主要包括设计编译和检查、逻辑优化和综合、适配和分割、布局和布线、生成编程数据文件等过程。1)设计编译和检查设计输入完成之后,将立即进行编译。在编译过程中首先进行语法检验,如检查原理图的信号线有无漏接,信号有无双重来源,文本输入文件中关键词有无错误等各种语法错误,并及时标出错误的位置信息报告,供设计者修改。然后进行设计规则检验,检查总的设计有无超出器件资源或规定的限制并将编译报告列出,指明违反规则和潜在不可靠电路的情况以供设计者纠正。2) 逻辑优化和综合逻辑优化主要包括面积优化和时间优化。面积优化的目的是使设计所占用的PLD中的逻辑元件(Logic Elements,简称LE或LEs)数最少。时间优化是指设计电路的输入信号经过最短的路径到达输出,使传输延迟时间最小。综合的目的是将多个模块化设计文件合并为一个网表文件,并使层次设计平面化(即展平)。3) 适配和分割在适配和分割过程,确定优化以后的逻辑能否与下载目标器件CPLD或FPGA中的宏单元和I/O单元适配,然后将设计分割为多个便于适配的逻辑小块形式映射到器件相应的宏单元中。如果整个设计不能装入一片器件时,可以将整个设计自动分割成多块并装入同一系列的多片器件中去。分割工作可以全部自动实现,也可以部分由用户控制,还可以全部由用户控制进行。分割时应使所需器件数目和用于器件之间通信的引脚数目尽可能少。4) 布局和布线布局和布线工作是在设计检验通过以后由软件自动完成的,它能以最优的方式对逻辑元件布局,并准确地实现元件间的布线互连。布局和布线完成后,软件会自动生成布线报告,提供有关设计中各部分资源的使用情况等信息。5) 生成编程数据文件设计处理

    注意事项

    本文(基于可编程逻辑器件的数字抢答器 电路的设计定稿(王龙胜)word格式.doc)为本站会员(仙人指路1688)主动上传,三一办公仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三一办公(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    备案号:宁ICP备20000045号-2

    经营许可证:宁B2-20210002

    宁公网安备 64010402000987号

    三一办公
    收起
    展开