欢迎来到三一办公! | 帮助中心 三一办公31ppt.com(应用文档模板下载平台)
三一办公
全部分类
  • 办公文档>
  • PPT模板>
  • 建筑/施工/环境>
  • 毕业设计>
  • 工程图纸>
  • 教育教学>
  • 素材源码>
  • 生活休闲>
  • 临时分类>
  • ImageVerifierCode 换一换
    首页 三一办公 > 资源分类 > PPT文档下载  

    基于EDA的数字秒表设计.ppt

    • 资源ID:2363211       资源大小:173.50KB        全文页数:10页
    • 资源格式: PPT        下载积分:8金币
    快捷下载 游客一键下载
    会员登录下载
    三方登录下载: 微信开放平台登录 QQ登录  
    下载资源需要8金币
    邮箱/手机:
    温馨提示:
    用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP免费专享
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    基于EDA的数字秒表设计.ppt

    基于EDA的数字秒表设计,实验要求,1、数字秒表主要由:分频器、扫描显示译码器、一百进制计数器、六十进制计数器(或十进制计数器与6进制计数器)、十二进制计数器(或二十四进制计数器)电路组成。在整个秒表中最关键的是如何获得一个精确的100HZ计时脉冲,除此之外,数字秒表需有清零控制端,以及启动控制端、保持保持,以便数字时钟能随意停止及启动。(时钟50M)2、数字秒表显示由时(12或24进制任选)、分(60进制)、秒(60进制)、百分之一秒(一百进制)组成,利用扫描显示译码电路在八个数码管显示。3、能够完成清零、启动、保持(可以使用键盘或拨码开关置数)功能。4、时、分、秒、百分之一秒显示准确。,实验设计提示,分频模块,实验设计提示,library ieee;use ieee.std_logic_1164.all;entity div is port(clr,clk:in bit;q:buffer bit);end div;architecture a of div is signal counter:integer range 0 to 249999;begin process(clr,clk)begin if(clk=1 and clkevent)then if clr=0 then counter=0;elsif counter=249999 then counter=0;q=not q;else counter=counter+1;end if;end if;end process;end a;,实验设计提示,十进制计数器,实验设计提示,entity cnt10 isport(clk:in std_logic;clr:in std_logic;start:in std_logic;daout:buffer std_logic_vector(3 downto 0);co:out std_logic);end entity cnt10;architecture art of cnt10 isbeginprocess(clk,clr,start)beginif clr=0 then daout=0000;elsif(clkevent and clk=1)thenif(start=1)thenif daout=1001then daout=0000;co=1;else daout=daout+1;co=0;end if;end if;end if;end process;end art;,实验设计提示,六进制计数器,实验设计提示,entity cnt6 isport(clk,clr,start:in std_logic;daout:buffer std_logic_vector(3 downto 0);co:out std_logic);end entity cnt6;architecture art of cnt6 isbeginprocess(clk,clr,start)beginif clr=0 then daout=0000;elsif(clkevent and clk=1)thenif(start=1)thenif daout=0101then daout=0000;co=1;else daout=daout+1;co=0;end if;end if;end if;end process;end art;,实验设计提示,显示译码模块,实验设计提示,entity deled is port(num:in std_logic_vector(3 downto 0);led:out std_logic_vector(6 downto 0);end deled;architecture a of deled isbeginprocess(num)begincase num is when0000=ledledledledledledledledledledled=1111111;end case;end process;end a;,

    注意事项

    本文(基于EDA的数字秒表设计.ppt)为本站会员(仙人指路1688)主动上传,三一办公仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三一办公(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    备案号:宁ICP备20000045号-2

    经营许可证:宁B2-20210002

    宁公网安备 64010402000987号

    三一办公
    收起
    展开