欢迎来到三一办公! | 帮助中心 三一办公31ppt.com(应用文档模板下载平台)
三一办公
全部分类
  • 办公文档>
  • PPT模板>
  • 建筑/施工/环境>
  • 毕业设计>
  • 工程图纸>
  • 教育教学>
  • 素材源码>
  • 生活休闲>
  • 临时分类>
  • ImageVerifierCode 换一换
    首页 三一办公 > 资源分类 > PPT文档下载  

    max plus2教程、ppt.ppt

    • 资源ID:2243970       资源大小:3.27MB        全文页数:135页
    • 资源格式: PPT        下载积分:8金币
    快捷下载 游客一键下载
    会员登录下载
    三方登录下载: 微信开放平台登录 QQ登录  
    下载资源需要8金币
    邮箱/手机:
    温馨提示:
    用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP免费专享
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    max plus2教程、ppt.ppt

    2023/2/6,湖北众友科技EDA工作室,1,MAX+PLUS II学习课件(中文版),Max+Plus II用户使用入门指南,EDA工作室E-mail:Z,MAX+PLUS II学习课件目录,第一章 Max+PlusII的功能及系统要求第二章 Max+PlusII的安装向导第三章 Max+PlusII的设计流程第四章 图形输入方法的设计过程第五章 工具条和常用菜单选项说明第六章 图形的层次化设计及BUS使用第七章 硬件语言描述输入法第八章 混合设计输入法第九章 基于LPM的设计功能第十章 应用中常见错误及处理方法,2023/2/6,湖北众友科技EDA工作室,3,第一章 Max+PlusII的功能及系统要求,Altera公司的MAX+PLUS II 软件是最易学、最易用的可编程逻辑器件开发软件。其界面友好,集成化程度高,包含设计综合仿真等。,返回目录,1.1MAX+plus II 10.2的功能,独立的运行环境,2023/2/6,湖北众友科技EDA工作室,5,1.1MAX+plus II 10.2的功能,支持的器件所支持的器件有:ACEX1K,EPF10K10,EPF10K10A,EPF10K20,EPF10K30A以及MAX 7000系列(含MAX7000A,MAX7000AE,MAX7000E,MAX7000S),EPM9320,EPM9320A,EPF8452A,EPF8282A,FLEX 6000/A 系列,MAX 5000系列,ClassicTM系列。,设计输入常用的设计输入方法有:通过图形编辑器,创建图形设计文件(.gdf);通过文本编辑器,使用AHDL语言,创建文本编辑文件(.tdf);使用VHDL语言,创建文本设计文件(.vhd);使用Verilog HDL语言,创建文本设计文件(.v)。通过波形编辑器,创建波形设计文件(.wdf)等。,1.1MAX+plus II 10.2的功能,设计输入文件描述图,1.1MAX+plus II 10.2的功能,设计输入总结图,2023/2/6,湖北众友科技EDA工作室,8,1.1MAX+plus II 10.2的功能,设计编译 通过MAX+plus编译器,可检查项目是否有错,并对项目进行逻辑综合,然后配置到一个ALTERA器件中,同时产生报告文件、编辑文件和用于时间仿真的输出文件。设计校验通过MAX+plus的定时分析器进行时序分析、功能仿真、时序仿真和波形分析,生成一些标准文件为其他EDA工具使用。器件编程(Programming)和配置(Cofiguration)在线帮助,1.1MAX+plus II 10.2的功能,编译器的输入输出文件描述图,1.1MAX+plus II 10.2的功能,与其它EDA工具良好的接口功能,2023/2/6,湖北众友科技EDA工作室,11,1.2MAX+plus II 10.2的系统要求,支持的操作系统 Windows 98/Me/2000 以及 Windows xp;安装所需空间 1Gbytes;内存要求 可用64MB,推荐内存64MB以上。,返回目录,2023/2/6,湖北众友科技EDA工作室,12,第二章 Max+PlusII的安装向导,目前MAX+PLUS II软件最高版本为10.2,关于它的安装非常简单,只要按照安装向导安装即可。,一点通,返回目录,2023/2/6,湖北众友科技EDA工作室,13,2.1进入安装界面,(1)进入光盘中MaxPlusII10.2软件的目录,找到并运行 程序后出现下图安装界面,点击Full/Custom/Flexlm Server按钮。,2023/2/6,湖北众友科技EDA工作室,14,2.1进入安装界面,(2)进入下面窗口,点击“Next”出现另一窗口,在另一窗口中单击“Next”。,2023/2/6,湖北众友科技EDA工作室,15,2.1进入安装界面,(3)进入下面窗口,单击“YES”,表示接受此协议。此时出现一提示,告之你需要一个license文件来运行程序,单击此提示中的“Next”。,2023/2/6,湖北众友科技EDA工作室,16,2.2设置用户信息,(1)进入下面窗口,输入你的用户名和公司名,单击“Next”。,随你怎么写!,2023/2/6,湖北众友科技EDA工作室,17,2.2设置用户信息,(2)进入下面窗口,选择完全安装即默认选项,单击“Next”。,2023/2/6,湖北众友科技EDA工作室,18,2.3设置安装路径,(1)进入下面窗口,默认安装路径时,单击“Next”。若把软件安装在D盘,单击“Browse”按钮进行路径设置。,注:MaxPlusII软件只识别英文,建立文件(夹)及安装路径避免有中文!,2023/2/6,湖北众友科技EDA工作室,19,2.3设置安装路径,(2)进入下面左边窗口,键入或选择你要安装到的目录,此处所选为“d:maxplus2”,然后按“OK”。因该目录不存在,故会出现提示“是否创建此目录”。选择“是(Y)”。然后返回到右边窗口,单击“Next”。,2023/2/6,湖北众友科技EDA工作室,20,2.3设置安装路径,(3)进入下面窗口,由于前面设置好路径,已改为D盘(最好保持一致,无须改变),单击“Next”。,在max2work目录安装了许多设计的源代码/图,如一些VHDL,Verilog HDL的例子。,2023/2/6,湖北众友科技EDA工作室,21,2.3设置安装路径,(4)进入下面窗口,同上,单击“Next”。,在max2Key目录安装了MAX+PLUS II软件的ACCESS Key Guidelines系列文件。,2023/2/6,湖北众友科技EDA工作室,22,2.4进行安装,(4)接着出现的窗口一直单击“Next”,直到如下图所示开始安装:,等一会儿,2023/2/6,湖北众友科技EDA工作室,23,2.5第一次运行的注册准备,安装到100%后,将提示成功安装信息等,到此已成功安装完本软件。在第一次运行时要对软件进行注册才可使用,故为注册作如下工作:,安装完成后把光盘中的license.dat 文件复制到安装目录的maxplus2目录下,并去掉只读属性。,2023/2/6,湖北众友科技EDA工作室,24,2.6MaxPlusII的启动,双击MAX+PLUS II10.2的图标,或从“开始”菜单“程序”中的“ALTERA”组中的“MAX+PLUS II10.2”运行MAX+PLUS II10.2。,2023/2/6,湖北众友科技EDA工作室,25,2.7MaxPlusII注册,在出现MAX+PLUS II10.2的界面时将出现下图窗口,Alera公司要求用户阅读完全部文档,界面下方的YES按钮才被激活。表示ALTER公司已同意你使用该软件,可以进行注册了。点击“YES”按钮进入MAX+PLUS II10.2的主界面。,2023/2/6,湖北众友科技EDA工作室,26,2.7MaxPlusII注册,在主界面菜单选择“Option”“License Setup”菜单(如图1-10所示),“Browse”选择D:maxplus2,并选择license.dat为授权文件,分别点击“OK”后,退出MAX+plus II,到此注册完成。,2023/2/6,湖北众友科技EDA工作室,27,2.8MaxPlusII安装结束,重新运行MaxplusII10.2就可以正常使用本软件。,若在实际中碰到异常情况不能安装及不能正常运行的,请检查你的电脑,本软件对电脑要求不高,一般硬件上的问题较少,主要是软件间冲突,或者安装目录较乱等导致,请优化一下你的电脑!,返回目录,2023/2/6,湖北众友科技EDA工作室,28,第三章 Max+PlusII的设计流程,MaxPlusII软件包含了设计输入、综合、仿真及硬件配置下载等功能于一身,集成度高,能完成整个设计流程,功能强大!,返回目录,2023/2/6,湖北众友科技EDA工作室,29,3.1 Max+PlusII的设计过程图,2023/2/6,湖北众友科技EDA工作室,30,3.2 Max+PlusII的设计流程图,2023/2/6,湖北众友科技EDA工作室,31,3.3 Max+PlusII设计流程简述,设计输入提供图形、文本和波形编辑器实现图形、AHDL、VHDL、Verilog HDL或波形的输入,也可输入网表文件。项目编译提供了一个完全集成的编译器(Compiler),它可直接完成从网表提取到最后编程文件的生成,包含时序模拟、适配的标准文件。项目校验对设计项目的功能、时序进行仿真和时序分析,判断输入输出间的延迟。项目编程将你的设计下载/配置到你所选择的器件中去。,在此简述一下设计流程,将在后面章节实例中进行详细说明设计流程:,第四章图形输入方法的设计过程,用户的每个独立设计都对应一个项目,每个项目可包含一个或多个设计文件,其中有一个是顶层文件,顶层文件的名字必须与项目名相同。编译器是对项目中的顶层文件进行编译。,项目还管理所有中间文件,所有项目的中间文件的文件名相同,仅后缀名(扩展名)不同。对于每个新的项目最好建立一个单独的文件夹,使设计有条理化!切记项目名不同于项目文件夹,项目文件夹包含项目名文件,它们可以取相同名字。,返回目录,4.1建立工作文件夹,条理化设计!,建立d:mydesigngraph文件夹,工作文件夹要求层次化,如左图所示,一般项目建立为ACE走向,当进行系统性复杂设计时,采用ABDF走向。,本例只有一个设计文件,故文件条理化如上图所示为ACE走向,mydesign对应A,graph对应C,唯一的设计文件对应E,且该设计文件同时为顶层文件。,2023/2/6,湖北众友科技EDA工作室,34,4.2项目建立,(1)启动MAX+plus10.2,进入下图所示MAX+plus管理器窗口。在“File”菜单中选择“Project”的“Name”选项。,2023/2/6,湖北众友科技EDA工作室,35,4.2项目建立,(2)出现下图,在“Directories”区选中刚才为项目所建的目录;在“Project Name”区键入项目名,点击“OK”按钮即项目建立完成。,2023/2/6,湖北众友科技EDA工作室,36,4.3图形输入之建立设计文件,(1)、在File菜单下选择“New”,出现下图对话框。,2023/2/6,湖北众友科技EDA工作室,37,4.3图形输入之打开图形编辑窗口,(2)选择“Graphic Editor file”,点击“OK”后出现图形输入窗口如下图所示:,2023/2/6,湖北众友科技EDA工作室,38,4.3图形输入之打开元件调用窗口,(3)在图形编辑区双击鼠标打开“Enter symbol”对话框。,2023/2/6,湖北众友科技EDA工作室,39,4.3图形输入之库文件列表,(4)MAX+plus为实现不同的逻辑功能提供了大量的库文件,每个库对应一个目录。这些库根据其功能大小及特点可分为:,2023/2/6,湖北众友科技EDA工作室,40,4.3图形输入之调用库元件,(5)在库选择区双击“d:maxplus2max2libmf”,此时在元件列表区列出了该库中所有器件,找到74161,单击之。此时74161出现在元件符号名输入区。,2023/2/6,湖北众友科技EDA工作室,41,4.3图形输入之调出到编辑窗口,(6)单击“OK”关闭此对话框,此时可发现在图形编辑器窗口出现了74161的符号,如下图所示。,4.3图形输入之帮助文件的使用方法,(7)对于库中调出的元件都可在帮助文件中找到相关功能说明及用法,下面从了解74161真值表的例子说明帮助文件的用法。,A、调用对应的帮助文件内容,单击下图鼠标位置。,注:帮助菜单上有很多相关内容,不防在设计时碰到问题多点击看看。,4.3图形输入之帮助文件的使用方法,B、在弹出的右图中找到Counters(74161为计数器系列)点击。,2023/2/6,湖北众友科技EDA工作室,44,4.3图形输入之帮助文件的使用方法,C、在弹出的右图中找到74161点击。,4.3图形输入之帮助文件的使用方法,C、在弹出的左图中很容易找到74161的真值表。,MaxPlusII帮助功能强大,借此举一反三,学会用帮助文件,2023/2/6,湖北众友科技EDA工作室,46,4.3图形输入之保存文件,(8)从“File”菜单下选择“Save”,出现文件保存对话框。选择“OK”,使用默认的文件名存盘。此处默认的文件名为“cntm12.gdf”,即项目名“cntm12”加上图形文件的扩展名“.gdf”。,2023/2/6,湖北众友科技EDA工作室,47,4.3图形输入之调入与非门与地,(9)按照步骤(5)调入“NAND3”和代表低电平的“GND”(位于库prim中),在输入74161,NAND3,GND三个符号后,可得下图。,若采用同步置零法,使74161在“1011”状态时出现置零信号来实现模为12的计数器。故需调用一个三输入与非门,三输入与非门位于库“prim”中,名称为“NAND3”。(N代表输出反向,AND代表与门,3代表输入端的个数;所以“NAND3”为一个三输入与非门。),2023/2/6,湖北众友科技EDA工作室,48,4.3图形输入之绘图工具条说明,(10)在绘图过程中,可利用绘图工具条实现元件拖动,交叉线接断功能。,2023/2/6,湖北众友科技EDA工作室,49,4.3图形输入之设计连线,(11)连线,完成好电路图如下图所示,并存盘。,A.如果需要连接元件的两个端 口,则将鼠标移到其中的一个端口上,这时鼠标指示符会自动变为“+”形;B.然后按住鼠标左键并拖动鼠标至第二个端口(或其他地方);C.松开鼠标左键后,则可画好一条连线;,连线方法:,D.若想删除一条连线,只需用鼠标左键点中该线,被点中的线会变为高亮线(为红色),此时按“Delete”键即可删除。,2023/2/6,湖北众友科技EDA工作室,50,4.3图形输入之添加输入输出引脚,(12)输入引脚的符号名为“input”,输出引脚的符号名为“output”,仿照前面添加74161的方法加入三个输入引脚和五个输出引脚。“input”和“output”皆位于库“prim”下。它们外形如下。,2023/2/6,湖北众友科技EDA工作室,51,4.3图形输入之引脚命名,2023/2/6,湖北众友科技EDA工作室,52,4.3图形输入之完成设计电路,(14)命完名后将这些引脚同对应好的元件端口连接好,可得模为12的计数器电路图如下图所示。,2023/2/6,湖北众友科技EDA工作室,53,4.4项目编译,(1)完成设计文件输入后,可开始对其进行编译。在“MAX+PLUS II”菜单中选择“Compiler”,即可打开编译器如下图所示。选择“Start”就可开始编译。编译成功后可生成时序模拟文件及器件编程文件。若有错误,编译器将停止编译,并在下面的信息框中给出错误信息,双击错误信息条,一般可给出错误之处。,2023/2/6,湖北众友科技EDA工作室,54,4.4项目编译,(2)编译器由多个部分组成,各部分名称与功能如下:,Compiler Netlist Extractor:编译器网表提取器,该过程完成后生成设计的网表文件(描述设计中各元件之间连接信息的文件),若图形连接中有错误(如两个输出直接短接),该过程将指出此错误。Database Builder:数据库建库器。Logic Synthesizer:逻辑综合器对设计进行逻辑综合,即选择合适的逻辑化简算法,去除冗余逻辑。确保对某种特定的器件结构尽可能有效地使用器件的逻辑资源,还可去除设计中无用的逻辑。用户可通过修改逻辑综合的一些选项,来指导逻辑综合。,2023/2/6,湖北众友科技EDA工作室,55,4.4项目编译,Fitter:适配器。它通过一定的算法(或试探法)进行布局布线,将通过逻辑综合的设计最恰当地用一个或多个器件来实现。Timing SNF Extractor:时序模拟的模拟器网表文件生成器,它可生成用于时序模拟(项目校验)的标准时延文件。若想进行功能模拟,可从菜单“Processing”中选择“Functional SNF Extrctor”项,此时编译器仅由三项构成:Compiler Netlist Extractor;Database Builder;Functional SNF Extrctor。Assembler:装配器,生成用于器件下载/配置的文件。,注意:在这一步中编译器自动为你的设计选择目标器件并进行管脚锁定;在下面的介绍中我们将说明如何由用户进行目标器件选择和管脚锁定!,2023/2/6,湖北众友科技EDA工作室,56,4.5项目校验之建立输入文件,编译器通过“Timing SNF Extractor”后就可进行时序模拟了。(1)建立波形输入文件(也称模拟器通道文件SCF):,A.从菜单“File”中选择“New”打开新建文件类型对话框,选择“Waveform Editor File(.scf)”项后选择“OK”,则出现波形编辑窗口如上图所示。,2023/2/6,湖北众友科技EDA工作室,57,4.5项目校验之建立输入文件,B.在波形编辑器窗口的 Name 下空白处单击鼠标右键,出现浮动菜单,如下左图所示。选择“Enter Nodes from SNF”可打开“从SNF文件输入观测节点”对话框如下右图所示。,2023/2/6,湖北众友科技EDA工作室,58,4.5项目校验之建立输入文件,C.在“从SNF文件输入观测节点”对话框中的“Type”区选择“Inputs”和“Outputs”,默认情况下已选中。单击“List”按钮,可在“Available Nodes&Groups”区看到设计中的输入/输出信号,如下图所示,这些信号为蓝色高亮,表示被选中。单击 按钮可将这些信号选择到“Selected Nodes&Groups”区,表示可对这些信号进行观测。击点“OK”按钮。,2023/2/6,湖北众友科技EDA工作室,59,4.5项目校验之建立输入文件,D.可见到波形编辑器窗口变为下图所示内容。,E从菜单“File”中选择“Save”,将此波形文件保存为默认名:“cntm12.scf”,扩展名“.scf”表示模拟通道文件。,2023/2/6,湖北众友科技EDA工作室,60,4.5项目校验之波形编辑菜单说明,A编辑菜单选项说明,在建立输入波形之前,先浏览与此操作相关的菜单选项及工具条。(2)编辑菜单选项及工具条说明:,此外,在默认情况下,模拟时间为1us。可从菜单“File”下选择“End Time”来设置模拟时间的长短。,2023/2/6,湖北众友科技EDA工作室,61,4.5项目校验之波形编辑工具条说明,B编辑工具条说明,2023/2/6,湖北众友科技EDA工作室,62,4.5项目校验之建立输入波形,浏览与此操作相关的菜单选项及工具条后,开始建立输入波形。(3)建立输入波形:,2023/2/6,湖北众友科技EDA工作室,63,4.5项目校验之建立输入波形,2023/2/6,湖北众友科技EDA工作室,64,4.5项目校验之建立输入波形,D.选择“File”中“Save”存盘。到此完成波形输入,如下图所示:,2023/2/6,湖北众友科技EDA工作室,65,4.5项目校验之时序模拟,(4)运行模拟器,进行时序模拟:,A.从菜单“MAX+plus”选择“Simulator”,即可打开模拟器如下图所示。,B.单击按钮“Start”即可开始模拟,模拟完毕后,单击按钮“Open Scf”可打开刚才编辑的波形文件,就可开始对模拟结果进行检查。,2023/2/6,湖北众友科技EDA工作室,66,4.5项目校验之时序模拟,C.模拟完成后波形模拟文件如下图所示:,注意:针对FPGA器件进行仿真时存在毛刺与时序延迟(FPGA芯片内部构造决定),所以不同的目标器件仿真图形可能有出入,如上图cout输出有一个毛刺存在,除此之外对应输入的仿真结果是正确的!,2023/2/6,湖北众友科技EDA工作室,67,4.5项目校验之模拟结果观察,(5)为观测方便,可将计数输出q3,q2,q1,q0作为一个组来进行 有效观测,步骤如下:,A.将鼠标移到“Name”区的q3上,按下鼠标左键并往下拖动鼠标至q0处。松开鼠标左键,可选中信号q3,q2,q1,q0;,B.在选中区(黑色)上单击鼠标右键,打开一个浮动菜单,选择“Enter Group”项,出现图上图对话框;选择十六进制后,点击“OK”按钮。,2023/2/6,湖北众友科技EDA工作室,68,4.5项目校验之模拟结果观察,C.可得下图1-39所示波形图文件。这种用组表示的方法其实就是BUS的使用,这将在6.5节详细介绍。,返回目录,2023/2/6,湖北众友科技EDA工作室,69,4.6目标器件选择之确定目标器件,(1)模拟通过后就可将设计结果编程/下载到目标器件中。但因为前面编译时,是由编译器自动为你的设计选择目标器件并进行管脚锁定的,所以为使设计符合用户要求,将由用户进行目标器件选择和管脚锁定。,2023/2/6,湖北众友科技EDA工作室,70,4.6目标器件选择之选择方法,(2)器件选择方法:,2023/2/6,湖北众友科技EDA工作室,71,4.6目标器件选择之选择方法,2023/2/6,湖北众友科技EDA工作室,72,4.6目标器件选择之类型说明,FPGA采用SRAM进行功能配置,可重复编程,但系统掉电后,SRAM中的数据丢失。因此,需在FPGA外加EPROM,将配置数据写入其中,系统每次上电自动将数据引入SRAM中。CPLD器件一般采用EEPROM存储技术,可重复编程,并且系统掉电后,EEPROM中的数据不会丢失,适于数据的保密。,(3)PLD类型说明:,FPGA CPLD,2023/2/6,湖北众友科技EDA工作室,73,4.7目标器件管脚锁定之锁定前提,管脚锁定是指将输入/输出信号安排在器件的指定管脚(I/O口)上。在EDA-E实验箱上,目标芯片的引脚定义可采用全开放式和全固定式(免连线方式)。全开放式:可以不用管脚锁定,编译后将自动分配管脚,根据自动分配在管脚的信号来连接电路做实验。也可以人工分配管脚,按照一定的规律来分配管脚,方便连线及实验有条理化。全固定式:每个引脚都有固定定义的信号名,必须按照定义好的信号(I/O分布表)分配管脚。跟外围硬件关系紧密,必须人工管脚锁定。前提:人工管脚锁定之前必须选择好目标芯片,并对项目编译且通过,产生目标芯片管脚锁定环境才可以进行人工管脚锁定,否则人工管脚分配不知目标芯片。,(1)锁定前提详细说明;,4.7目标器件管脚锁定之锁定方法,(2)锁定方法;,4.7目标器件管脚锁定之锁定方法,2023/2/6,湖北众友科技EDA工作室,76,4.7目标器件管脚锁定之锁定方法1,选择clk信号锁定在EP1K30QC208-2的79号脚上(79号脚为EDA-E实验箱时钟源上的可调时钟信号CCLK1,以后设计项目时参考实验指导书中的I/O分布表,此表已定义好各脚的信号意义与对应器件。本例直接给出所有输入输出信号对应的管脚号与器件。),开始锁定clk。,C.方法1以锁定clk信号方法为例说明,2023/2/6,湖北众友科技EDA工作室,77,4.7目标器件管脚锁定之锁定方法1,按锁定clk信号方法分别将其它信号按下表锁定管脚,完成锁定。,2023/2/6,湖北众友科技EDA工作室,78,4.7目标器件管脚锁定之锁定方法2,D对于管脚锁定可以采用方法2 从“Assign”菜单中选择“Pin/location/chip”,打开下图对话框。以锁定clk信号方法为例说明。,2023/2/6,湖北众友科技EDA工作室,79,4.7目标器件管脚锁定之锁定方法2,重复上述步骤,可将所有信号锁定好。,2023/2/6,湖北众友科技EDA工作室,80,4.7目标器件管脚锁定之编译,(3)锁定后重新编译;,完成管脚锁定后,重新编译使之生效,此时回到原来的设计文件“cntm12.gdf”上的输入输出信号旁都标有其对应的管脚号。如下图所示:,注意:重新编译好后,可以再重新进行项目校验(时序仿真),若正确,可进行下一步:器件编程/配置。,设计文件名,管脚锁定号,2023/2/6,湖北众友科技EDA工作室,81,4.8器件编程/配置之编程配置区分,(1)了解编程/配置及相应下载文件类型:,可编程器件PLD可分为两大类:一类是CPLD,编程信息以EEPROM方式保存,故对这类器件的下载称为编程.在通过项目编译后,可生成文件*.pof用于下载。另一类是FPGA,其逻辑块LE及内部互连信息都是通过芯片内部的存储器单元阵列完成的,这些存储器单元阵列可由配置程序装入,存储器单元阵列采用SRAM方式,对这类器件的下载称为配置。在通过项目编译后可生成文件*.sof用于下载.掉电保护要求外挂EPROM,在通过项目编译后可生成文件*.pof用于对EPROM编程,掉电后上电时EPROM对FPGA进行配置,实现掉电保护功能。,本实验箱所用的EP1K30QC208-2为FPGA,选配器件EPC2LC20即为外加EEPROM,我们以此为例,说明所有可编程器件的编程/配置方法。,A.将25针下载电缆线一端插入LPT1(打印机口即并行口),另一端连接到实验箱主板系统的通用编程模块DB25接口,再用十针连接线一端插入该模块JTAG下载接口固定不变,另一端连接到主板系统的配置模块中目标芯片的下载接口,打开系统主板电源。,4.8器件编程/配置之设置方法,(2)以对EP1K30QC208-2进行配置为例说明编程/配置方法:,4.8器件编程/配置之设置方法,B.从“Max+plusII”菜单下选择“Programmer”,可打开下图所示的对话框。,由于第一次运行,上述对话框所有按钮皆为灰色,并自动弹出“Hardware setup”对话框让我们设置下载方式,若不是第一次运行,在打开“Programme”对话框的界面下也可从“Options”菜单下选择“Hardware setup”对话框进行设置。,4.8器件编程/配置之设置方法,C.在“Hardware setup”对话框中设置下载类型。,若是NT系统如Windows2000,则出现右图提示,由于MusPlusII软件最初不支持NT的操作系统,后来开发了外挂的驱动程序,通过安装驱动程序后同上面方法设置即可。,2023/2/6,湖北众友科技EDA工作室,85,4.8器件编程/配置之安装驱动,(3)在Win2000操作平台上安装编程/配置驱动程序说明:,A.在控制面板中选择”添加/删除硬件”,在出现的对话框中点击“下一步”安钮。,注:若不是NT系统,则可以跳过此节内容!,2023/2/6,湖北众友科技EDA工作室,86,4.8器件编程/配置之安装驱动,B.选择添加/排除设备故障,如下图所示,点击“下一步”按钮。,2023/2/6,湖北众友科技EDA工作室,87,4.8器件编程/配置之安装驱动,C.将检测新硬件,出现下面窗口,选择添加新设备,点击“下一步”按钮。,2023/2/6,湖北众友科技EDA工作室,88,4.8器件编程/配置之安装驱动,D.在下面窗口选择“否,我想从列表中选择硬件”,点击“下一步”按钮。,2023/2/6,湖北众友科技EDA工作室,89,4.8器件编程/配置之安装驱动,E.出现下面窗口,选择选择“声音、视频和游戏控制器”,击点“下一步”按钮。,4.8器件编程/配置之安装驱动,F.击点“从磁盘安装”按钮,找到安装软件目录MaxPlus2-Drivers-Win2000下选择inf文件,并按“确定”按钮。将弹出“没有数字签名“窗口,点击“是”按钮,继续安装。,2023/2/6,湖北众友科技EDA工作室,91,4.8器件编程/配置之安装驱动,G.选择“Altera ByteBlaster”,击点“下一步”按钮,开始安装驱动程序,安装完毕后需要重新启动电脑才能使新设备生效。到此安装完了在Win2000操作平台编程/配置的驱动程序。,2023/2/6,湖北众友科技EDA工作室,92,4.8器件编程/配置之安装驱动,H.重启后,进入“Hardware setup”对话框进行设置,设置好后如下图所示,下面就可以完成编程/配置功能了。,2023/2/6,湖北众友科技EDA工作室,93,4.8器件编程/配置之选择下载文件,(4)完成设置后就可以对EP1K30QC208-2配置了,先选择下载文件!,2023/2/6,湖北众友科技EDA工作室,94,4.8器件编程/配置之选择下载文件,2023/2/6,湖北众友科技EDA工作室,95,4.8器件编程/配置之配置,(5)如下图所示,选择好配置文件后点击“Configuar”进行配置,提示成功后表示配置完成。,注意:十针连接线一端连接到目标器件的下载接口处,配置时不要打开主板系统中时钟源模块的电源开关,否则在进行配置时下载不成功!,2023/2/6,湖北众友科技EDA工作室,96,4.8器件编程/配置之编程,(6)选择好编程文件后点击“Program”进行编程,提示成功后表示配置完成。到此表示器件编程/配置功能完成。,注意:十针连接线一端连接到目标器件的下载接口处,配置时不要打开主板系统中时钟源模块的电源开关。否则在进行配置时下载不成功!,2023/2/6,湖北众友科技EDA工作室,97,4.9EDA实验平台的硬件实现,(1)到目前为止,我们已经在MaxPlusII软件上完成了一个完整设计,也通过了仿真,最后一步是在EDA-E实验箱上进行检验,使我们的设计正确无误。,(2)在实验箱上进行实验之前,先学习实验指导书中的实验箱简介部分,会查I/O分布表,知道目标芯片的管脚分配情况,知道模式选择模块CTRL拔码开关的功能。这些都可参考EDA-E实验指导书的第一部分实验箱简介内容。,2023/2/6,湖北众友科技EDA工作室,98,4.9EDA实验平台的硬件实现,(4)针对模12的计数器实例在硬件平台上(EDA-E实验箱)进行检验及硬件实现。步骤如下:,1、实验箱上设置:在模式选择模块CTRL拔码开关处设置(2)(4)(8)档为ON,即使 发光二极管LED1-LED5有效,拔码开关K1、K2有效。在时钟源模块处打开电 源开关使时钟GCLK有效。2、设置输入:设置输入信号CLK为1Hz即在时钟源模块处跳线使GCLK为1Hz,表 示计数器1S计数一次;设置清零信号clear为高电平即 K1为高电平,表示不在 清零状态;设置计数有效信号en为高电平即 K2为高电平,表示计数有效。3、观察结果:计数器计数输出q0-q3、进位Cout对应LED1-LED5五个发光二极管,若发亮表示高电平。当清零信号清零一次即K1为低电平一次后,开始观察 q3-q0,若每当计数器从0000计数到1011时,进位信号Cout变为高电平,即 LED5发亮,再过1S后,计数器又为0000,重新开始计数,而LED5灭状态,重复 模12的计数状态。4、得出结论:上面结果跟项目设计要求一致,设计内容得到了检验,说明成功设计了模为12的计数器。,2023/2/6,湖北众友科技EDA工作室,99,4.10设计总结,(1)本章将以图形输入法为例讲述了整个设计过程。,(2)到目前为止,我们已完成一个完整设计,熟悉了整个设计流程。作为课后练习及提高,可使用74160或74161设计一个模为9的计数器,锁定管脚到数码管SM8上显示(参考EDA-E实验指导书的实验箱简介和I/O口分布表内容)。然后,用两片74160设计一个模为60的8421BCD码计数器。芯片功能参考MuxPlusII的帮助文件。,返回目录,2023/2/6,湖北众友科技EDA工作室,100,Max+plusII软件为不同的操作阶段提供了不同的工具条,它指明用户当前可以完成的操作,这方便了软件的使用。Max+plusII的工具条中关于文件操作,编辑等的工具条与Windows下的标准一样。下面简单介绍这些工具条的功能。其中大部分工具条的功能前面我们已经从菜单角度提到过。现只介绍下面两个工具条。,第五章 工具条和常用菜单选项说明,返回目录,2023/2/6,湖北众友科技EDA工作室,101,5.1File与 帮助选项说明,(1)下面工具条等同与菜单FileNew,(即菜单“File”下的“New”项)可打开新建设计输入文件类型对话框;其他分别为打开一个文件;存盘;打印;剪切;复制;取消上次操作。,帮助选择功能。鼠标单击后,会变为此形状,处于帮助选择状态。此时,用鼠标左键单击某一对象,可获得此对象的帮助主题。例如,单击74161的符号,可获得关于74161的帮助:74161的功能表。,2023/2/6,湖北众友科技EDA工作室,102,5.2 层次管理选项说明,(2)打开层次管理窗口,可看到当前项目的层次关系,我们可以看模为12的计数器例子的层次关系,如下图所示。,2023/2/6,湖北众友科技EDA工作室,103,5.3 项目和编辑选项说明,(3)打开管脚编辑器窗口。同菜单命令Max+plusIIFloorplan Editor即“Max+plus”菜单下“Floorplan Editor”。,分别打开编译器和模拟器,同菜单命令:Max+plusII/Compiler和Max+plusII/Simulator。,打开编程/下载窗口,同“Max+plusII”菜单下“Programmer”。,分别为(1)指定项目名,即打开一个项目,同File/Project/Name;(2)将当前文件指定为项目,同File/Project/Set project to Current File;(3)打开项目的顶层文件,同File/Hierarchy Project Top;说明:编译器是对项目进行编译,因此,若先建设计文件,必须要将此文件指定为项目,才能对其进行编译。因为,需要项目进行设计层次,编译信息等的管理。所以特别在层次化设计时常用(2)来指定为项目进行编译。,2023/2/6,湖北众友科技EDA工作室,104,5.4 时序分析器选项说明1,打开时序分析器,可进行时序分析,同菜单命令:Max+plusII/Timing Analyzer。时序分析器可进行如下3个方面的分析:Delay Matrix:输入/输出间的延迟;Setup/Hold Matrix:触发器的建立/保持时间;Registered performance:寄存器的性能分析,可获得最坏的信号 路径,系统工作频率等信息。,5.4 时序分析器选项说明2,在单击 后,可打开如下图所示的时序分析器。此时,可在菜单Analysis下切换上述三个方面的分析,也可通过工具条切换。,5.4 时序分析器选项说明3,在时序分析器上单击“Start”按钮即进行Delay Matrix分析,结果如下图所示。,5.4 时序分析器选项说明4,选择“Registered performance”可进行寄存器的性能分析。单击“Start”开始分析,可得结果如下图所示。,2023/2/6,湖北众友科技EDA工作室,108,5.5 保存、搜索和字体选项说明,分别为:保存所有打开的文件,并对当前项目进行语法检查File/Project/Save✓.保存所有打开的文件,并对当前项目进行编译,同File/Project/Save&compile;保存打开的模拟器输入文件,并对当前项目进行模拟,同File/Project/Save&simulate。,用于改变字体及其大小。,对应菜单“Utilities”下的子菜单项,可进行字符搜索;替换;当前文件/当前项目中搜索节点(node),符号(Symbol)等。,返回目录,2023/2/6,湖北众友科技EDA工作室,109,第六章 图形的层次化设计及BUS使用,数字系统设计的一般方法是采用自顶向下的层次化设计。在Max+plusII,可利用层次化设计方法来实现自顶向下的设计。一般在电路的具体实现时先组建低层设计,然后进行顶层设计。下面以图形输入为例,学习

    注意事项

    本文(max plus2教程、ppt.ppt)为本站会员(仙人指路1688)主动上传,三一办公仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知三一办公(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    备案号:宁ICP备20000045号-2

    经营许可证:宁B2-20210002

    宁公网安备 64010402000987号

    三一办公
    收起
    展开